diff --git a/projects/adrv9001/zcu102/cmos_constr.xdc b/projects/adrv9001/zcu102/cmos_constr.xdc index 84825bb74..502615aac 100644 --- a/projects/adrv9001/zcu102/cmos_constr.xdc +++ b/projects/adrv9001/zcu102/cmos_constr.xdc @@ -53,4 +53,6 @@ set_clock_latency -source -early -0.25 [get_clocks rx2_dclk_out] set_clock_latency -source -late 0.25 [get_clocks rx1_dclk_out] set_clock_latency -source -late 0.25 [get_clocks rx2_dclk_out] +set_property CLOCK_DEDICATED_ROUTE FALSE [get_nets i_system_wrapper/system_i/axi_adrv9001/inst/i_if/i_tx_1_phy/i_dac_clk_in_ibuf/O] +set_property CLOCK_DEDICATED_ROUTE FALSE [get_nets i_system_wrapper/system_i/axi_adrv9001/inst/i_if/i_tx_2_phy/i_dac_clk_in_ibuf/O] diff --git a/projects/adrv9001/zcu102/system_bd.tcl b/projects/adrv9001/zcu102/system_bd.tcl index 0325467d1..445ca19a3 100644 --- a/projects/adrv9001/zcu102/system_bd.tcl +++ b/projects/adrv9001/zcu102/system_bd.tcl @@ -3,7 +3,7 @@ source $ad_hdl_dir/projects/common/zcu102/zcu102_system_bd.tcl source ../common/adrv9001_bd.tcl source $ad_hdl_dir/projects/scripts/adi_pd.tcl -ad_ip_parameter axi_adrv9001 CONFIG.USE_RX_CLK_FOR_TX 1 +ad_ip_parameter axi_adrv9001 CONFIG.USE_RX_CLK_FOR_TX [expr $ad_project_params(CMOS_LVDS_N) == 0] #system ID ad_ip_parameter axi_sysid_0 CONFIG.ROM_ADDR_BITS 9