a10gx: Force all used tiles to high speed, in order to improve timing

main
Adrian Costina 2017-10-04 16:16:00 +01:00
parent 03e744f0f1
commit d690a614c1
1 changed files with 1 additions and 0 deletions

View File

@ -6,6 +6,7 @@ set_location_assignment PIN_AR37 -to "sys_clk(n)"
set_location_assignment PIN_BD27 -to sys_resetn
set_instance_assignment -name IO_STANDARD LVDS -to sys_clk
set_instance_assignment -name IO_STANDARD "1.8 V" -to sys_resetn
set_global_assignment -name PROGRAMMABLE_POWER_TECHNOLOGY_SETTING "FORCE ALL USED TILES TO HIGH SPEED"
# ddr3