From cf3737122b7e377d852c665ed5ed164d3344da90 Mon Sep 17 00:00:00 2001 From: AndreiGrozav Date: Tue, 16 May 2017 16:35:37 +0300 Subject: [PATCH] Remove duplicare wire declaration -Introduced by updating to verilog-2001 --- library/axi_ad6676/axi_ad6676.v | 1 - library/axi_ad9265/axi_ad9265.v | 1 - library/axi_ad9643/axi_ad9643.v | 1 - library/axi_ad9652/axi_ad9652.v | 1 - 4 files changed, 4 deletions(-) diff --git a/library/axi_ad6676/axi_ad6676.v b/library/axi_ad6676/axi_ad6676.v index bc33a35f7..634b15aa7 100755 --- a/library/axi_ad6676/axi_ad6676.v +++ b/library/axi_ad6676/axi_ad6676.v @@ -103,7 +103,6 @@ module axi_ad6676 #( // internal clocks & resets - wire adc_rst; wire up_rstn; wire up_clk; diff --git a/library/axi_ad9265/axi_ad9265.v b/library/axi_ad9265/axi_ad9265.v index 927c49c64..1d7542bbb 100644 --- a/library/axi_ad9265/axi_ad9265.v +++ b/library/axi_ad9265/axi_ad9265.v @@ -105,7 +105,6 @@ module axi_ad9265 #( // internal clocks & resets - wire adc_rst; wire up_rstn; wire up_clk; wire delay_rst; diff --git a/library/axi_ad9643/axi_ad9643.v b/library/axi_ad9643/axi_ad9643.v index 964e9d91b..e717fc141 100644 --- a/library/axi_ad9643/axi_ad9643.v +++ b/library/axi_ad9643/axi_ad9643.v @@ -108,7 +108,6 @@ module axi_ad9643 #( // internal clocks & resets - wire adc_rst; wire up_rstn; wire up_clk; wire delay_rst; diff --git a/library/axi_ad9652/axi_ad9652.v b/library/axi_ad9652/axi_ad9652.v index f5f266cd5..f3d79a148 100644 --- a/library/axi_ad9652/axi_ad9652.v +++ b/library/axi_ad9652/axi_ad9652.v @@ -108,7 +108,6 @@ module axi_ad9652 #( // internal clocks & resets - wire adc_rst; wire up_rstn; wire up_clk; wire delay_rst;