From c1c6787b912aead949aa19bf07e0c57bf7803596 Mon Sep 17 00:00:00 2001 From: Adrian Costina Date: Fri, 20 Mar 2015 18:22:48 +0200 Subject: [PATCH] fmcomms2: Updated VC707 design with the latest base design --- projects/fmcomms2/vc707/system_bd.tcl | 1 + projects/fmcomms2/vc707/system_project.tcl | 1 + projects/fmcomms2/vc707/system_top.v | 104 +++++++-------------- 3 files changed, 37 insertions(+), 69 deletions(-) diff --git a/projects/fmcomms2/vc707/system_bd.tcl b/projects/fmcomms2/vc707/system_bd.tcl index d15123897..cbb9547dc 100644 --- a/projects/fmcomms2/vc707/system_bd.tcl +++ b/projects/fmcomms2/vc707/system_bd.tcl @@ -1,4 +1,5 @@ source $ad_hdl_dir/projects/common/vc707/vc707_system_bd.tcl +source $ad_hdl_dir/projects/common/xilinx/sys_wfifo.tcl source ../common/fmcomms2_bd.tcl diff --git a/projects/fmcomms2/vc707/system_project.tcl b/projects/fmcomms2/vc707/system_project.tcl index 6e56ee0b9..1176ef25b 100644 --- a/projects/fmcomms2/vc707/system_project.tcl +++ b/projects/fmcomms2/vc707/system_project.tcl @@ -3,6 +3,7 @@ source ../../scripts/adi_env.tcl source $ad_hdl_dir/projects/scripts/adi_project.tcl +source $ad_hdl_dir/projects/scripts/adi_board.tcl adi_project_create fmcomms2_vc707 adi_project_files fmcomms2_vc707 [list \ diff --git a/projects/fmcomms2/vc707/system_top.v b/projects/fmcomms2/vc707/system_top.v index 4058a3237..fa0631f01 100644 --- a/projects/fmcomms2/vc707/system_top.v +++ b/projects/fmcomms2/vc707/system_top.v @@ -91,14 +91,6 @@ module system_top ( iic_scl, iic_sda, - hdmi_out_clk, - hdmi_hsync, - hdmi_vsync, - hdmi_data_e, - hdmi_data, - - spdif, - rx_clk_in_p, rx_clk_in_n, rx_frame_in_p, @@ -172,21 +164,13 @@ module system_top ( inout [15:0] linear_flash_dq_io; output [ 6:0] gpio_lcd; - output [ 7:0] gpio_led; - input [12:0] gpio_sw; + inout [ 7:0] gpio_led; + inout [12:0] gpio_sw; output iic_rstn; inout iic_scl; inout iic_sda; - output hdmi_out_clk; - output hdmi_hsync; - output hdmi_vsync; - output hdmi_data_e; - output [35:0] hdmi_data; - - output spdif; - input rx_clk_in_p; input rx_clk_in_n; input rx_frame_in_p; @@ -215,19 +199,21 @@ module system_top ( input spi_miso; // internal signals - wire [16:0] gpio_i; - wire [16:0] gpio_o; - wire [16:0] gpio_t; - wire [31:0] mb_intrs; + wire [63:0] gpio_i; + wire [63:0] gpio_o; + wire [63:0] gpio_t; + + // default logic assign fan_pwm = 1'b1; + assign iic_rstn = 1'b1; // instantiations ad_iobuf #(.DATA_WIDTH(17)) i_iobuf ( - .dt (gpio_t[16:0]), - .di (gpio_o[16:0]), - .do (gpio_i[16:0]), + .dt (gpio_t[48:32]), + .di (gpio_o[48:32]), + .do (gpio_i[48:32]), .dio({ gpio_txnrx, gpio_enable, gpio_resetb, @@ -236,6 +222,13 @@ module system_top ( gpio_ctl, gpio_status})); + ad_iobuf #(.DATA_WIDTH(21)) i_iobuf_sw_led ( + .dt (gpio_t[20:0]), + .di (gpio_o[20:0]), + .do (gpio_i[20:0]), + .dio({gpio_led, + gpio_sw})); + system_wrapper i_system_wrapper ( .ddr3_addr (ddr3_addr), .ddr3_ba (ddr3_ba), @@ -259,45 +252,19 @@ module system_top ( .linear_flash_wen (linear_flash_wen), .linear_flash_dq_io(linear_flash_dq_io), .gpio_lcd_tri_o (gpio_lcd), - .gpio_led_tri_o (gpio_led), - .gpio_sw_tri_i (gpio_sw), - .gpio_fmcomms2_i (gpio_i), - .gpio_fmcomms2_o (gpio_o), - .gpio_fmcomms2_t (gpio_t), - .hdmi_data (hdmi_data), - .hdmi_data_e (hdmi_data_e), - .hdmi_hsync (hdmi_hsync), - .hdmi_out_clk (hdmi_out_clk), - .hdmi_vsync (hdmi_vsync), + .gpio0_o (gpio_o[31:0]), + .gpio0_t (gpio_t[31:0]), + .gpio0_i (gpio_i[31:0]), + .gpio1_o (gpio_o[63:32]), + .gpio1_t (gpio_t[63:32]), + .gpio1_i (gpio_i[63:32]), .iic_main_scl_io (iic_scl), .iic_main_sda_io (iic_sda), - .iic_rstn (iic_rstn), - .mb_intr_10 (mb_intrs[10]), - .mb_intr_11 (mb_intrs[11]), - .mb_intr_12 (mb_intrs[12]), - .mb_intr_13 (mb_intrs[13]), - .mb_intr_14 (mb_intrs[14]), - .mb_intr_15 (mb_intrs[15]), - .mb_intr_16 (mb_intrs[16]), - .mb_intr_17 (mb_intrs[17]), - .mb_intr_18 (mb_intrs[18]), - .mb_intr_19 (mb_intrs[19]), - .mb_intr_20 (mb_intrs[20]), - .mb_intr_21 (mb_intrs[21]), - .mb_intr_22 (mb_intrs[22]), - .mb_intr_23 (mb_intrs[23]), - .mb_intr_24 (mb_intrs[24]), - .mb_intr_25 (mb_intrs[25]), - .mb_intr_26 (mb_intrs[26]), - .mb_intr_27 (mb_intrs[27]), - .mb_intr_28 (mb_intrs[28]), - .mb_intr_29 (mb_intrs[29]), - .mb_intr_30 (mb_intrs[30]), - .mb_intr_31 (mb_intrs[31]), - .fmcomms2_spi_irq(mb_intrs[10]), - .fmcomms2_gpio_irq(mb_intrs[11]), - .ad9361_adc_dma_irq (mb_intrs[12]), - .ad9361_dac_dma_irq (mb_intrs[13]), + .mb_intr_06 (1'b0), + .mb_intr_07 (1'b0), + .mb_intr_08 (1'b0), + .mb_intr_14 (1'b0), + .mb_intr_15 (1'b0), .mdio_mdc (mdio_mdc), .mdio_mdio_io (mdio_mdio), .mgt_clk_clk_n (mgt_clk_n), @@ -308,17 +275,16 @@ module system_top ( .sgmii_rxp (sgmii_rxp), .sgmii_txn (sgmii_txn), .sgmii_txp (sgmii_txp), - .spdif (spdif), .sys_clk_n (sys_clk_n), .sys_clk_p (sys_clk_p), .sys_rst (sys_rst), - .spi_csn_i (1'b1), + .spi_clk_i (spi_clk), + .spi_clk_o (spi_clk), + .spi_csn_i (spi_csn), .spi_csn_o (spi_csn), - .spi_miso_i (spi_miso), - .spi_mosi_i (1'b0), - .spi_mosi_o (spi_mosi), - .spi_sclk_i (1'b0), - .spi_sclk_o (spi_clk), + .spi_sdi_i (spi_miso), + .spi_sdo_i (spi_mosi), + .spi_sdo_o (spi_mosi), .rx_clk_in_n (rx_clk_in_n), .rx_clk_in_p (rx_clk_in_p), .rx_data_in_n (rx_data_in_n),