util_clkdiv: Add IP

main
Costina 2016-09-30 17:13:51 +03:00
parent 7290bcc81a
commit c072c2f89a
4 changed files with 122 additions and 0 deletions

View File

@ -57,6 +57,7 @@ clean:
make -C util_axis_resize clean
make -C util_bsplit clean
make -C util_ccat clean
make -C util_clkdiv clean
make -C util_cpack clean
make -C util_dacfifo clean
make -C util_gmii_to_rgmii clean
@ -129,6 +130,7 @@ lib:
-make -C util_axis_resize
-make -C util_bsplit
-make -C util_ccat
-make -C util_clkdiv
-make -C util_cpack
-make -C util_dacfifo
-make -C util_gmii_to_rgmii

View File

@ -0,0 +1,46 @@
####################################################################################
####################################################################################
## Copyright 2011(c) Analog Devices, Inc.
## Auto-generated, do not modify!
####################################################################################
####################################################################################
M_DEPS := util_clkdiv_ip.tcl
M_DEPS += ../scripts/adi_env.tcl
M_DEPS += ../scripts/adi_ip.tcl
M_DEPS += util_clkdiv.v
M_VIVADO := vivado -mode batch -source
M_FLIST := *.cache
M_FLIST += *.data
M_FLIST += *.xpr
M_FLIST += *.log
M_FLIST += component.xml
M_FLIST += *.jou
M_FLIST += xgui
M_FLIST += *.ip_user_files
M_FLIST += *.srcs
M_FLIST += *.hw
M_FLIST += *.sim
M_FLIST += .Xil
.PHONY: all clean clean-all
all: util_clkdiv.xpr
clean:clean-all
clean-all:
rm -rf $(M_FLIST)
util_clkdiv.xpr: $(M_DEPS)
-rm -rf $(M_FLIST)
$(M_VIVADO) util_clkdiv_ip.tcl >> util_clkdiv_ip.log 2>&1
####################################################################################
####################################################################################

View File

@ -0,0 +1,64 @@
// ***************************************************************************
// ***************************************************************************
// Copyright 2014(c) Analog Devices, Inc.
//
// All rights reserved.
//
// Redistribution and use in source and binary forms, with or without modification,
// are permitted provided that the following conditions are met:
// - Redistributions of source code must retain the above copyright
// notice, this list of conditions and the following disclaimer.
// - Redistributions in binary form must reproduce the above copyright
// notice, this list of conditions and the following disclaimer in
// the documentation and/or other materials provided with the
// distribution.
// - Neither the name of Analog Devices, Inc. nor the names of its
// contributors may be used to endorse or promote products derived
// from this software without specific prior written permission.
// - The use of this software may or may not infringe the patent rights
// of one or more patent holders. This license does not release you
// from the requirement that you obtain separate licenses from these
// patent holders to use this software.
// - Use of the software either in source or binary form, must be run
// on or directly connected to an Analog Devices Inc. component.
//
// THIS SOFTWARE IS PROVIDED BY ANALOG DEVICES "AS IS" AND ANY EXPRESS OR IMPLIED WARRANTIES,
// INCLUDING, BUT NOT LIMITED TO, NON-INFRINGEMENT, MERCHANTABILITY AND FITNESS FOR A
// PARTICULAR PURPOSE ARE DISCLAIMED.
//
// IN NO EVENT SHALL ANALOG DEVICES BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL,
// EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, INTELLECTUAL PROPERTY
// RIGHTS, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR
// BUSINESS INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT,
// STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF
// THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE.
// ***************************************************************************
// ***************************************************************************
// ***************************************************************************
// ***************************************************************************
`timescale 1ns/100ps
module util_clkdiv (
clk,
clk_out
);
input clk;
output clk_out;
BUFR #(
.BUFR_DIVIDE("4"),
.SIM_DEVICE("7SERIES")
) clk_divide (
.I(clk),
.CE(1),
.CLR(0),
.O(clk_div_s));
BUFG i_div_clk_gbuf (
.I (clk_div_s),
.O (clk_out));
endmodule // util_clkdiv

View File

@ -0,0 +1,10 @@
source ../scripts/adi_env.tcl
source $ad_hdl_dir/library/scripts/adi_ip.tcl
adi_ip_create util_clkdiv
adi_ip_files util_clkdiv [list \
"util_clkdiv.v" ]
adi_ip_properties_lite util_clkdiv
ipx::save_core [ipx::current_core]