From c051a578e54d796def27bc528c75f5331f55c530 Mon Sep 17 00:00:00 2001 From: Istvan Csomortani Date: Fri, 20 Nov 2015 19:33:18 +0200 Subject: [PATCH] fmcomms2: Delete unnecessary clock definition The two clocks, rx_clk and ad9361_clk, are the same. --- projects/fmcomms2/ac701/system_constr.xdc | 2 +- projects/fmcomms2/kc705/system_constr.xdc | 2 +- projects/fmcomms2/mitx045/system_constr.xdc | 2 +- projects/fmcomms2/vc707/system_constr.xdc | 2 +- projects/fmcomms2/zc702/system_constr.xdc | 1 - projects/fmcomms2/zc706/system_constr.xdc | 1 - projects/fmcomms2/zed/system_constr.xdc | 2 +- 7 files changed, 5 insertions(+), 7 deletions(-) diff --git a/projects/fmcomms2/ac701/system_constr.xdc b/projects/fmcomms2/ac701/system_constr.xdc index d09a91497..4a50da3eb 100644 --- a/projects/fmcomms2/ac701/system_constr.xdc +++ b/projects/fmcomms2/ac701/system_constr.xdc @@ -62,4 +62,4 @@ set_property -dict {PACKAGE_PIN E23 IOSTANDARD LVCMOS25} [get_ports spi_miso] # clocks create_clock -name rx_clk -period 4 [get_ports rx_clk_in_p] -create_clock -name ad9361_clk -period 4 [get_pins i_system_wrapper/system_i/axi_ad9361/clk] + diff --git a/projects/fmcomms2/kc705/system_constr.xdc b/projects/fmcomms2/kc705/system_constr.xdc index 11f60f7a6..d9346816b 100644 --- a/projects/fmcomms2/kc705/system_constr.xdc +++ b/projects/fmcomms2/kc705/system_constr.xdc @@ -62,4 +62,4 @@ set_property -dict {PACKAGE_PIN AJ29 IOSTANDARD LVCMOS25} [get_ports spi_miso # clocks create_clock -name rx_clk -period 4 [get_ports rx_clk_in_p] -create_clock -name ad9361_clk -period 4 [get_pins i_system_wrapper/system_i/axi_ad9361/clk] + diff --git a/projects/fmcomms2/mitx045/system_constr.xdc b/projects/fmcomms2/mitx045/system_constr.xdc index 201c2dad1..403bbc60f 100644 --- a/projects/fmcomms2/mitx045/system_constr.xdc +++ b/projects/fmcomms2/mitx045/system_constr.xdc @@ -61,4 +61,4 @@ set_property -dict {PACKAGE_PIN W28 IOSTANDARD LVCMOS25} [get_ports spi_miso # clocks create_clock -name rx_clk -period 4.00 [get_ports rx_clk_in_p] -create_clock -name ad9361_clk -period 4.00 [get_pins i_system_wrapper/system_i/axi_ad9361/clk] + diff --git a/projects/fmcomms2/vc707/system_constr.xdc b/projects/fmcomms2/vc707/system_constr.xdc index 8abdecd19..f6280bd2b 100644 --- a/projects/fmcomms2/vc707/system_constr.xdc +++ b/projects/fmcomms2/vc707/system_constr.xdc @@ -62,4 +62,4 @@ set_property -dict {PACKAGE_PIN H31 IOSTANDARD LVCMOS18} [get_ports spi_miso] # clocks create_clock -name rx_clk -period 4 [get_ports rx_clk_in_p] -create_clock -name ad9361_clk -period 4 [get_pins i_system_wrapper/system_i/axi_ad9361/clk] + diff --git a/projects/fmcomms2/zc702/system_constr.xdc b/projects/fmcomms2/zc702/system_constr.xdc index 22bdc9892..2e9fc432f 100644 --- a/projects/fmcomms2/zc702/system_constr.xdc +++ b/projects/fmcomms2/zc702/system_constr.xdc @@ -61,7 +61,6 @@ set_property -dict {PACKAGE_PIN C18 IOSTANDARD LVCMOS25} [get_ports spi_miso] # clocks create_clock -name rx_clk -period 4 [get_ports rx_clk_in_p] -create_clock -name ad9361_clk -period 4 [get_pins i_system_wrapper/system_i/axi_ad9361/clk] # spi pmod J63 diff --git a/projects/fmcomms2/zc706/system_constr.xdc b/projects/fmcomms2/zc706/system_constr.xdc index 0e6a6a83a..2509dc955 100644 --- a/projects/fmcomms2/zc706/system_constr.xdc +++ b/projects/fmcomms2/zc706/system_constr.xdc @@ -73,5 +73,4 @@ set_property -dict {PACKAGE_PIN AC18 IOSTANDARD LVCMOS25} [get_ports gpio_mux # clocks create_clock -name rx_clk -period 4.00 [get_ports rx_clk_in_p] -create_clock -name ad9361_clk -period 4.00 [get_pins i_system_wrapper/system_i/axi_ad9361/clk] diff --git a/projects/fmcomms2/zed/system_constr.xdc b/projects/fmcomms2/zed/system_constr.xdc index f623f7d6c..c1a741f22 100644 --- a/projects/fmcomms2/zed/system_constr.xdc +++ b/projects/fmcomms2/zed/system_constr.xdc @@ -71,4 +71,4 @@ set_property -dict {PACKAGE_PIN AB9 IOSTANDARD LVCMOS33} [get_ports gpi # clocks create_clock -name rx_clk -period 4 [get_ports rx_clk_in_p] -create_clock -name ad9361_clk -period 4 [get_pins i_system_wrapper/system_i/axi_ad9361/clk] +