ad6674evb, fmcadc2, fmcadc4, fmcadc5, fmcjesdadc1:

Update system_project.tcl scripts to correctly select the necessary
constraint files
main
AndreiGrozav 2016-05-04 19:37:33 +03:00
parent b6b68e9ab7
commit be74db656c
9 changed files with 12 additions and 0 deletions

View File

@ -12,6 +12,7 @@ adi_project_files ad6676evb_vc707 [list \
"$ad_hdl_dir/library/common/ad_iobuf.v" \
"$ad_hdl_dir/projects/common/vc707/vc707_system_constr.xdc" ]
set_property is_enabled false [get_files *axi_jesd_gt_tx_constr.xdc]
adi_project_run ad6676evb_vc707

View File

@ -12,6 +12,7 @@ adi_project_files ad6676evb_zc706 [list \
"$ad_hdl_dir/library/common/ad_iobuf.v" \
"$ad_hdl_dir/projects/common/zc706/zc706_system_constr.xdc" ]
set_property is_enabled false [get_files *axi_jesd_gt_tx_constr.xdc]
adi_project_run ad6676evb_zc706

View File

@ -13,6 +13,7 @@ adi_project_files fmcadc2_vc707 [list \
"$ad_hdl_dir/library/common/ad_iobuf.v" \
"$ad_hdl_dir/projects/common/vc707/vc707_system_constr.xdc" ]
set_property is_enabled false [get_files *axi_jesd_gt_tx_constr.xdc]
adi_project_run fmcadc2_vc707

View File

@ -14,6 +14,7 @@ adi_project_files fmcadc2_zc706 [list \
"$ad_hdl_dir/projects/common/zc706/zc706_system_mig_constr.xdc" \
"$ad_hdl_dir/projects/common/zc706/zc706_system_constr.xdc" ]
set_property is_enabled false [get_files *axi_jesd_gt_tx_constr.xdc]
adi_project_run fmcadc2_zc706

View File

@ -14,6 +14,7 @@ adi_project_files fmcadc4_zc706 [list \
"$ad_hdl_dir/projects/common/zc706/zc706_system_mig_constr.xdc" \
"$ad_hdl_dir/projects/common/zc706/zc706_system_constr.xdc" ]
set_property is_enabled false [get_files *axi_jesd_gt_tx_constr.xdc]
adi_project_run fmcadc4_zc706

View File

@ -15,6 +15,7 @@ adi_project_files fmcadc5_vc707 [list \
"$ad_hdl_dir/library/common/ad_lvds_out.v" \
"$ad_hdl_dir/projects/common/vc707/vc707_system_constr.xdc" ]
set_property is_enabled false [get_files *axi_jesd_gt_tx_constr.xdc]
adi_project_run fmcadc5_vc707

View File

@ -11,4 +11,6 @@ adi_project_files fmcjesdadc1_kc705 [list \
"$ad_hdl_dir/library/common/ad_iobuf.v" \
"$ad_hdl_dir/projects/common/kc705/kc705_system_constr.xdc" ]
set_property is_enabled false [get_files *axi_jesd_gt_tx_constr.xdc]
adi_project_run fmcjesdadc1_kc705

View File

@ -11,4 +11,6 @@ adi_project_files fmcjesdadc1_vc707 [list \
"system_constr.xdc" \
"$ad_hdl_dir/projects/common/vc707/vc707_system_constr.xdc" ]
set_property is_enabled false [get_files *axi_jesd_gt_tx_constr.xdc]
adi_project_run fmcjesdadc1_vc707

View File

@ -13,6 +13,8 @@ adi_project_files fmcjesdadc1_zc706 [list \
"system_constr.xdc" \
"$ad_hdl_dir/projects/common/zc706/zc706_system_constr.xdc" ]
set_property is_enabled false [get_files *axi_jesd_gt_tx_constr.xdc]
adi_project_run fmcjesdadc1_zc706