diff --git a/projects/fmcomms8/zcu102/system_constr.xdc b/projects/fmcomms8/zcu102/system_constr.xdc index fa105b54a..1ac23db86 100644 --- a/projects/fmcomms8/zcu102/system_constr.xdc +++ b/projects/fmcomms8/zcu102/system_constr.xdc @@ -127,5 +127,3 @@ set_input_delay -clock rx_fmc_dev_clk -min 4 [get_ports sysref_c_p]; set_input_delay -clock tx_fmc_dev_clk -max 4 [get_ports sysref_d_p]; set_input_delay -clock tx_fmc_dev_clk -min 4 [get_ports sysref_d_p]; - -create_clock -name spi0_clk -period 100 [get_pins -hier */EMIOSPI0SCLKO]