From bb9eafceefad9c5d72d95b0e4624494c13439986 Mon Sep 17 00:00:00 2001 From: Laszlo Nagy Date: Thu, 17 Dec 2020 13:44:30 +0000 Subject: [PATCH] ad9081_fmca_ebz/zcu102: Add case analysis to select correct out clock frequency --- projects/ad9081_fmca_ebz/zcu102/timing_constr.xdc | 13 +++++++++++++ 1 file changed, 13 insertions(+) diff --git a/projects/ad9081_fmca_ebz/zcu102/timing_constr.xdc b/projects/ad9081_fmca_ebz/zcu102/timing_constr.xdc index c52526fad..b677f0d0d 100644 --- a/projects/ad9081_fmca_ebz/zcu102/timing_constr.xdc +++ b/projects/ad9081_fmca_ebz/zcu102/timing_constr.xdc @@ -13,3 +13,16 @@ set_input_delay -clock [get_clocks tx_device_clk] \ [get_property PERIOD [get_clocks tx_device_clk]] \ [get_ports {sysref2_*}] +# For transceiver output clocks use reference clock divided by two +# This will help autoderive the clocks correcly +set_case_analysis -quiet 0 [get_pins -quiet -hier *_channel/TXSYSCLKSEL[0]] +set_case_analysis -quiet 0 [get_pins -quiet -hier *_channel/TXSYSCLKSEL[1]] +set_case_analysis -quiet 0 [get_pins -quiet -hier *_channel/TXOUTCLKSEL[0]] +set_case_analysis -quiet 0 [get_pins -quiet -hier *_channel/TXOUTCLKSEL[1]] +set_case_analysis -quiet 1 [get_pins -quiet -hier *_channel/TXOUTCLKSEL[2]] + +set_case_analysis -quiet 0 [get_pins -quiet -hier *_channel/RXSYSCLKSEL[0]] +set_case_analysis -quiet 0 [get_pins -quiet -hier *_channel/RXSYSCLKSEL[1]] +set_case_analysis -quiet 0 [get_pins -quiet -hier *_channel/RXOUTCLKSEL[0]] +set_case_analysis -quiet 0 [get_pins -quiet -hier *_channel/RXOUTCLKSEL[1]] +set_case_analysis -quiet 1 [get_pins -quiet -hier *_channel/RXOUTCLKSEL[2]]