diff --git a/library/axi_ad9680/axi_ad9680.v b/library/axi_ad9680/axi_ad9680.v index 66e54937b..a7b50c7c5 100644 --- a/library/axi_ad9680/axi_ad9680.v +++ b/library/axi_ad9680/axi_ad9680.v @@ -44,8 +44,8 @@ module axi_ad9680 ( rx_clk, rx_sof, - rx_data, rx_valid, + rx_data, rx_ready, // dma interface @@ -93,9 +93,8 @@ module axi_ad9680 ( input rx_clk; input [ 3:0] rx_sof; - input [127:0] rx_data; - input rx_valid; + input [127:0] rx_data; output rx_ready; // dma interface diff --git a/library/axi_ad9680/axi_ad9680_ip.tcl b/library/axi_ad9680/axi_ad9680_ip.tcl index 1f68f2a88..29891e34d 100644 --- a/library/axi_ad9680/axi_ad9680_ip.tcl +++ b/library/axi_ad9680/axi_ad9680_ip.tcl @@ -26,6 +26,7 @@ adi_ip_properties axi_ad9680 adi_ip_constraints axi_ad9680 [list \ "$ad_hdl_dir/library/common/ad_axi_ip_constr.xdc" ] +set_property driver_value 0 [ipx::get_ports *rx_valid* -of_objects [ipx::current_core]] set_property driver_value 0 [ipx::get_ports *dovf* -of_objects [ipx::current_core]] set_property driver_value 0 [ipx::get_ports *dunf* -of_objects [ipx::current_core]]