From afc4274ee385490fdaebada403ca1919ffa40729 Mon Sep 17 00:00:00 2001 From: Adrian Costina Date: Fri, 6 Nov 2015 16:01:19 +0200 Subject: [PATCH] common scripts: Changed the resulting hdf file to system_top_bad_timing, if design doesn't meet timing. --- projects/scripts/adi_project.tcl | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/projects/scripts/adi_project.tcl b/projects/scripts/adi_project.tcl index 196c4f0c0..58fd1a7af 100644 --- a/projects/scripts/adi_project.tcl +++ b/projects/scripts/adi_project.tcl @@ -162,7 +162,7 @@ proc adi_project_run {project_name} { file mkdir $project_name.sdk if [expr [get_property SLACK [get_timing_paths]] < 0] { - file copy -force $project_name.runs/impl_1/system_top.sysdef $project_name.sdk/system_top_timing.hdf + file copy -force $project_name.runs/impl_1/system_top.sysdef $project_name.sdk/system_top_bad_timing.hdf } else { file copy -force $project_name.runs/impl_1/system_top.sysdef $project_name.sdk/system_top.hdf }