common scripts: Changed the resulting hdf file to system_top_bad_timing, if design doesn't meet timing.

main
Adrian Costina 2015-11-06 16:01:19 +02:00
parent 0c7c0f2cd8
commit afc4274ee3
1 changed files with 1 additions and 1 deletions

View File

@ -162,7 +162,7 @@ proc adi_project_run {project_name} {
file mkdir $project_name.sdk
if [expr [get_property SLACK [get_timing_paths]] < 0] {
file copy -force $project_name.runs/impl_1/system_top.sysdef $project_name.sdk/system_top_timing.hdf
file copy -force $project_name.runs/impl_1/system_top.sysdef $project_name.sdk/system_top_bad_timing.hdf
} else {
file copy -force $project_name.runs/impl_1/system_top.sysdef $project_name.sdk/system_top.hdf
}