axi_logic_analyzer: Add trigger disable condition

The trigger disable condition will be used as default
or last available option in the trigger out source selection.
main
AndreiGrozav 2020-05-29 11:22:49 +03:00 committed by AndreiGrozav
parent 3e91078af0
commit ad4439433d
1 changed files with 2 additions and 1 deletions

View File

@ -121,7 +121,8 @@ module axi_logic_analyzer_trigger (
3'd2: trigger_active_mux = trigger_active & trigger_in;
3'd3: trigger_active_mux = trigger_active | trigger_in;
3'd4: trigger_active_mux = trigger_active ^ trigger_in;
default: trigger_active_mux = 1'b1;
3'd7: trigger_active_mux = 1'b0; // trigger disable
default: trigger_active_mux = 1'b0;
endcase
end