diff --git a/library/axi_adc_decimate/Makefile b/library/axi_adc_decimate/Makefile index f3355881c..5caaa17ca 100644 --- a/library/axi_adc_decimate/Makefile +++ b/library/axi_adc_decimate/Makefile @@ -14,8 +14,8 @@ GENERIC_DEPS += axi_adc_decimate_reg.v GENERIC_DEPS += cic_decim.v GENERIC_DEPS += fir_decim.v -XILINX_DEPS += ../xilinx/common/up_xfer_cntrl_constr.xdc XILINX_DEPS += ../xilinx/common/ad_mul.v +XILINX_DEPS += ../xilinx/common/up_xfer_cntrl_constr.xdc XILINX_DEPS += axi_adc_decimate_ip.tcl XILINX_LIB_DEPS += util_cic diff --git a/library/intel/adi_jesd204/Makefile b/library/intel/adi_jesd204/Makefile index 10d34def6..f019eebae 100644 --- a/library/intel/adi_jesd204/Makefile +++ b/library/intel/adi_jesd204/Makefile @@ -5,6 +5,8 @@ LIBRARY_NAME := adi_jesd204 +INTEL_DEPS += adi_jesd204_glue.v +INTEL_DEPS += adi_jesd204_glue_hw.tcl INTEL_DEPS += adi_jesd204_hw.tcl INTEL_LIB_DEPS += intel/axi_adxcvr diff --git a/library/jesd204/ad_ip_jesd204_tpl_adc/Makefile b/library/jesd204/ad_ip_jesd204_tpl_adc/Makefile index ec8c6fc61..3ea4f5e11 100644 --- a/library/jesd204/ad_ip_jesd204_tpl_adc/Makefile +++ b/library/jesd204/ad_ip_jesd204_tpl_adc/Makefile @@ -34,6 +34,7 @@ INTEL_DEPS += ../../intel/common/up_clock_mon_constr.sdc INTEL_DEPS += ../../intel/common/up_rst_constr.sdc INTEL_DEPS += ../../intel/common/up_xfer_cntrl_constr.sdc INTEL_DEPS += ../../intel/common/up_xfer_status_constr.sdc +INTEL_DEPS += ../../jesd204/ad_ip_jesd204_tpl_common/up_tpl_common.v INTEL_DEPS += ad_ip_jesd204_tpl_adc_hw.tcl include ../../scripts/library.mk diff --git a/library/jesd204/ad_ip_jesd204_tpl_dac/Makefile b/library/jesd204/ad_ip_jesd204_tpl_dac/Makefile index f7797c9b9..1d75a58fa 100644 --- a/library/jesd204/ad_ip_jesd204_tpl_dac/Makefile +++ b/library/jesd204/ad_ip_jesd204_tpl_dac/Makefile @@ -19,10 +19,12 @@ GENERIC_DEPS += ../../common/up_dac_channel.v GENERIC_DEPS += ../../common/up_dac_common.v GENERIC_DEPS += ../../common/up_xfer_cntrl.v GENERIC_DEPS += ../../common/up_xfer_status.v +GENERIC_DEPS += ../ad_ip_jesd204_tpl_common/up_tpl_common.v GENERIC_DEPS += ad_ip_jesd204_tpl_dac.v GENERIC_DEPS += ad_ip_jesd204_tpl_dac_channel.v GENERIC_DEPS += ad_ip_jesd204_tpl_dac_core.v GENERIC_DEPS += ad_ip_jesd204_tpl_dac_framer.v +GENERIC_DEPS += ad_ip_jesd204_tpl_dac_pn.v GENERIC_DEPS += ad_ip_jesd204_tpl_dac_regmap.v XILINX_DEPS += ../../xilinx/common/ad_mul.v @@ -30,9 +32,7 @@ XILINX_DEPS += ../../xilinx/common/ad_rst_constr.xdc XILINX_DEPS += ../../xilinx/common/up_clock_mon_constr.xdc XILINX_DEPS += ../../xilinx/common/up_xfer_cntrl_constr.xdc XILINX_DEPS += ../../xilinx/common/up_xfer_status_constr.xdc -XILINX_DEPS += ../ad_ip_jesd204_tpl_common/up_tpl_common.v XILINX_DEPS += ad_ip_jesd204_tpl_dac_ip.tcl -XILINX_DEPS += ad_ip_jesd204_tpl_dac_pn.v INTEL_DEPS += ../../intel/common/ad_mul.v INTEL_DEPS += ../../intel/common/up_clock_mon_constr.sdc diff --git a/library/jesd204/axi_jesd204_rx/Makefile b/library/jesd204/axi_jesd204_rx/Makefile index c6d6bc39c..6cbef322d 100644 --- a/library/jesd204/axi_jesd204_rx/Makefile +++ b/library/jesd204/axi_jesd204_rx/Makefile @@ -12,8 +12,8 @@ GENERIC_DEPS += jesd204_up_rx.v GENERIC_DEPS += jesd204_up_rx_lane.v XILINX_DEPS += axi_jesd204_rx_constr.xdc -XILINX_DEPS += axi_jesd204_rx_ooc.ttcl XILINX_DEPS += axi_jesd204_rx_ip.tcl +XILINX_DEPS += axi_jesd204_rx_ooc.ttcl XILINX_DEPS += ../../jesd204/interfaces/jesd204_rx_cfg.xml XILINX_DEPS += ../../jesd204/interfaces/jesd204_rx_cfg_rtl.xml diff --git a/library/jesd204/axi_jesd204_tx/Makefile b/library/jesd204/axi_jesd204_tx/Makefile index 7dec0d7ad..dbbf1f813 100644 --- a/library/jesd204/axi_jesd204_tx/Makefile +++ b/library/jesd204/axi_jesd204_tx/Makefile @@ -10,8 +10,8 @@ GENERIC_DEPS += axi_jesd204_tx.v GENERIC_DEPS += jesd204_up_tx.v XILINX_DEPS += axi_jesd204_tx_constr.xdc -XILINX_DEPS += axi_jesd204_tx_ooc.ttcl XILINX_DEPS += axi_jesd204_tx_ip.tcl +XILINX_DEPS += axi_jesd204_tx_ooc.ttcl XILINX_DEPS += ../../jesd204/interfaces/jesd204_tx_cfg.xml XILINX_DEPS += ../../jesd204/interfaces/jesd204_tx_cfg_rtl.xml diff --git a/library/spi_engine/axi_spi_engine/Makefile b/library/spi_engine/axi_spi_engine/Makefile index 85bcffe9d..51910bb70 100644 --- a/library/spi_engine/axi_spi_engine/Makefile +++ b/library/spi_engine/axi_spi_engine/Makefile @@ -5,11 +5,11 @@ LIBRARY_NAME := axi_spi_engine -GENERIC_DEPS += axi_spi_engine_constr.ttcl GENERIC_DEPS += axi_spi_engine.v XILINX_DEPS += ../../common/ad_rst.v XILINX_DEPS += ../../common/up_axi.v +XILINX_DEPS += axi_spi_engine_constr.ttcl XILINX_DEPS += axi_spi_engine_ip.tcl XILINX_DEPS += ../../spi_engine/interfaces/spi_engine_ctrl.xml diff --git a/library/util_dacfifo/Makefile b/library/util_dacfifo/Makefile index 2e5d65f0b..314f31bbc 100644 --- a/library/util_dacfifo/Makefile +++ b/library/util_dacfifo/Makefile @@ -13,8 +13,8 @@ GENERIC_DEPS += util_dacfifo.v GENERIC_DEPS += util_dacfifo_bypass.v XILINX_DEPS += util_dacfifo_constr.xdc -XILINX_DEPS += util_dacfifo_ooc.ttcl XILINX_DEPS += util_dacfifo_ip.tcl +XILINX_DEPS += util_dacfifo_ooc.ttcl INTEL_DEPS += util_dacfifo_constr.sdc INTEL_DEPS += util_dacfifo_hw.tcl diff --git a/library/util_pack/util_cpack2/Makefile b/library/util_pack/util_cpack2/Makefile index b9dafdca2..6759719fd 100644 --- a/library/util_pack/util_cpack2/Makefile +++ b/library/util_pack/util_cpack2/Makefile @@ -18,7 +18,6 @@ XILINX_DEPS += util_cpack2_ip.tcl XILINX_DEPS += ../../interfaces/fifo_wr.xml XILINX_DEPS += ../../interfaces/fifo_wr_rtl.xml -INTEL_DEPS += ../../scripts/adi_ip_intel.tcl INTEL_DEPS += util_cpack2_hw.tcl include ../../scripts/library.mk diff --git a/library/util_pack/util_upack2/Makefile b/library/util_pack/util_upack2/Makefile index b9fade986..4c9656f9d 100644 --- a/library/util_pack/util_upack2/Makefile +++ b/library/util_pack/util_upack2/Makefile @@ -15,7 +15,6 @@ GENERIC_DEPS += util_upack2_impl.v XILINX_DEPS += util_upack2.v XILINX_DEPS += util_upack2_ip.tcl -INTEL_DEPS += ../../scripts/adi_ip_intel.tcl INTEL_DEPS += util_upack2_hw.tcl include ../../scripts/library.mk diff --git a/library/xilinx/util_clkdiv/Makefile b/library/xilinx/util_clkdiv/Makefile index 469e7d2d7..fa4563573 100644 --- a/library/xilinx/util_clkdiv/Makefile +++ b/library/xilinx/util_clkdiv/Makefile @@ -7,7 +7,7 @@ LIBRARY_NAME := util_clkdiv XILINX_DEPS += util_clkdiv.v XILINX_DEPS += util_clkdiv_constr.xdc -XILINX_DEPS += util_clkdiv_ooc.ttcl XILINX_DEPS += util_clkdiv_ip.tcl +XILINX_DEPS += util_clkdiv_ooc.ttcl include ../../scripts/library.mk diff --git a/projects/ad40xx_fmc/zed/Makefile b/projects/ad40xx_fmc/zed/Makefile index dca1f1c6d..14842debc 100644 --- a/projects/ad40xx_fmc/zed/Makefile +++ b/projects/ad40xx_fmc/zed/Makefile @@ -5,6 +5,8 @@ PROJECT_NAME := ad40xx_zed +M_DEPS += system_constr_adaq400x.xdc +M_DEPS += system_constr_ad40xx.xdc M_DEPS += ../common/ad40xx_bd.tcl M_DEPS += ../../common/zed/zed_system_constr.xdc M_DEPS += ../../common/zed/zed_system_bd.tcl @@ -16,11 +18,11 @@ LIB_DEPS += axi_hdmi_tx LIB_DEPS += axi_i2s_adi LIB_DEPS += axi_spdif_tx LIB_DEPS += axi_sysid -LIB_DEPS += sysid_rom LIB_DEPS += spi_engine/axi_spi_engine LIB_DEPS += spi_engine/spi_engine_execution LIB_DEPS += spi_engine/spi_engine_interconnect LIB_DEPS += spi_engine/spi_engine_offload +LIB_DEPS += sysid_rom LIB_DEPS += util_axis_upscale LIB_DEPS += util_i2c_mixer LIB_DEPS += util_pulse_gen diff --git a/projects/ad5766_sdz/zed/Makefile b/projects/ad5766_sdz/zed/Makefile index 0a812bb4b..51f4e98e0 100644 --- a/projects/ad5766_sdz/zed/Makefile +++ b/projects/ad5766_sdz/zed/Makefile @@ -17,10 +17,10 @@ LIB_DEPS += axi_hdmi_tx LIB_DEPS += axi_i2s_adi LIB_DEPS += axi_spdif_tx LIB_DEPS += axi_sysid -LIB_DEPS += sysid_rom LIB_DEPS += spi_engine/axi_spi_engine LIB_DEPS += spi_engine/spi_engine_execution LIB_DEPS += spi_engine/spi_engine_interconnect +LIB_DEPS += sysid_rom LIB_DEPS += util_i2c_mixer include ../../scripts/project-xilinx.mk diff --git a/projects/ad6676evb/vc707/Makefile b/projects/ad6676evb/vc707/Makefile index ae48c0b97..fa528688a 100644 --- a/projects/ad6676evb/vc707/Makefile +++ b/projects/ad6676evb/vc707/Makefile @@ -15,12 +15,12 @@ M_DEPS += ../../../library/common/ad_sysref_gen.v LIB_DEPS += axi_ad6676 LIB_DEPS += axi_dmac +LIB_DEPS += axi_sysid LIB_DEPS += jesd204/axi_jesd204_rx LIB_DEPS += jesd204/jesd204_rx +LIB_DEPS += sysid_rom LIB_DEPS += util_pack/util_cpack2 LIB_DEPS += xilinx/axi_adxcvr LIB_DEPS += xilinx/util_adxcvr -LIB_DEPS += axi_sysid -LIB_DEPS += sysid_rom include ../../scripts/project-xilinx.mk diff --git a/projects/ad6676evb/zc706/Makefile b/projects/ad6676evb/zc706/Makefile index 90dfb32ec..4f5559c09 100644 --- a/projects/ad6676evb/zc706/Makefile +++ b/projects/ad6676evb/zc706/Makefile @@ -17,12 +17,12 @@ LIB_DEPS += axi_clkgen LIB_DEPS += axi_dmac LIB_DEPS += axi_hdmi_tx LIB_DEPS += axi_spdif_tx +LIB_DEPS += axi_sysid LIB_DEPS += jesd204/axi_jesd204_rx LIB_DEPS += jesd204/jesd204_rx +LIB_DEPS += sysid_rom LIB_DEPS += util_pack/util_cpack2 LIB_DEPS += xilinx/axi_adxcvr LIB_DEPS += xilinx/util_adxcvr -LIB_DEPS += axi_sysid -LIB_DEPS += sysid_rom include ../../scripts/project-xilinx.mk diff --git a/projects/ad7134_fmc/zed/Makefile b/projects/ad7134_fmc/zed/Makefile index 3b58af03d..dc156899a 100644 --- a/projects/ad7134_fmc/zed/Makefile +++ b/projects/ad7134_fmc/zed/Makefile @@ -16,11 +16,11 @@ LIB_DEPS += axi_hdmi_tx LIB_DEPS += axi_i2s_adi LIB_DEPS += axi_spdif_tx LIB_DEPS += axi_sysid -LIB_DEPS += sysid_rom LIB_DEPS += spi_engine/axi_spi_engine LIB_DEPS += spi_engine/spi_engine_execution LIB_DEPS += spi_engine/spi_engine_interconnect LIB_DEPS += spi_engine/spi_engine_offload +LIB_DEPS += sysid_rom LIB_DEPS += util_axis_upscale LIB_DEPS += util_i2c_mixer diff --git a/projects/ad738x_fmc/zed/Makefile b/projects/ad738x_fmc/zed/Makefile index 6ceed7439..01f3244d1 100644 --- a/projects/ad738x_fmc/zed/Makefile +++ b/projects/ad738x_fmc/zed/Makefile @@ -16,11 +16,11 @@ LIB_DEPS += axi_hdmi_tx LIB_DEPS += axi_i2s_adi LIB_DEPS += axi_spdif_tx LIB_DEPS += axi_sysid -LIB_DEPS += sysid_rom LIB_DEPS += spi_engine/axi_spi_engine LIB_DEPS += spi_engine/spi_engine_execution LIB_DEPS += spi_engine/spi_engine_interconnect LIB_DEPS += spi_engine/spi_engine_offload +LIB_DEPS += sysid_rom LIB_DEPS += util_axis_upscale LIB_DEPS += util_i2c_mixer LIB_DEPS += util_pulse_gen diff --git a/projects/ad77681evb/zed/Makefile b/projects/ad77681evb/zed/Makefile index ec1c966e1..d1398059c 100644 --- a/projects/ad77681evb/zed/Makefile +++ b/projects/ad77681evb/zed/Makefile @@ -17,11 +17,11 @@ LIB_DEPS += axi_hdmi_tx LIB_DEPS += axi_i2s_adi LIB_DEPS += axi_spdif_tx LIB_DEPS += axi_sysid -LIB_DEPS += sysid_rom LIB_DEPS += spi_engine/axi_spi_engine LIB_DEPS += spi_engine/spi_engine_execution LIB_DEPS += spi_engine/spi_engine_interconnect LIB_DEPS += spi_engine/spi_engine_offload +LIB_DEPS += sysid_rom LIB_DEPS += util_axis_upscale LIB_DEPS += util_i2c_mixer diff --git a/projects/ad9208_dual_ebz/vcu118/Makefile b/projects/ad9208_dual_ebz/vcu118/Makefile index 82a356dfa..1cecbbaf3 100644 --- a/projects/ad9208_dual_ebz/vcu118/Makefile +++ b/projects/ad9208_dual_ebz/vcu118/Makefile @@ -14,14 +14,14 @@ M_DEPS += ../../../library/xilinx/common/ad_iobuf.v M_DEPS += ../../../library/jesd204/scripts/jesd204.tcl LIB_DEPS += axi_dmac +LIB_DEPS += axi_sysid LIB_DEPS += jesd204/ad_ip_jesd204_tpl_adc LIB_DEPS += jesd204/axi_jesd204_rx LIB_DEPS += jesd204/jesd204_rx +LIB_DEPS += sysid_rom LIB_DEPS += util_adcfifo LIB_DEPS += util_pack/util_cpack2 LIB_DEPS += xilinx/axi_adxcvr LIB_DEPS += xilinx/util_adxcvr -LIB_DEPS += axi_sysid -LIB_DEPS += sysid_rom include ../../scripts/project-xilinx.mk diff --git a/projects/ad9467_fmc/zed/Makefile b/projects/ad9467_fmc/zed/Makefile index 3c9959ab1..0c7bc25ac 100644 --- a/projects/ad9467_fmc/zed/Makefile +++ b/projects/ad9467_fmc/zed/Makefile @@ -17,8 +17,8 @@ LIB_DEPS += axi_dmac LIB_DEPS += axi_hdmi_tx LIB_DEPS += axi_i2s_adi LIB_DEPS += axi_spdif_tx -LIB_DEPS += util_i2c_mixer LIB_DEPS += axi_sysid LIB_DEPS += sysid_rom +LIB_DEPS += util_i2c_mixer include ../../scripts/project-xilinx.mk diff --git a/projects/ad_fmclidar1_ebz/zc706/Makefile b/projects/ad_fmclidar1_ebz/zc706/Makefile index 021ddc70d..092fbe6ed 100644 --- a/projects/ad_fmclidar1_ebz/zc706/Makefile +++ b/projects/ad_fmclidar1_ebz/zc706/Makefile @@ -19,9 +19,11 @@ LIB_DEPS += axi_dmac LIB_DEPS += axi_hdmi_tx LIB_DEPS += axi_laser_driver LIB_DEPS += axi_spdif_tx +LIB_DEPS += axi_sysid LIB_DEPS += jesd204/ad_ip_jesd204_tpl_adc LIB_DEPS += jesd204/axi_jesd204_rx LIB_DEPS += jesd204/jesd204_rx +LIB_DEPS += sysid_rom LIB_DEPS += util_pack/util_cpack2 LIB_DEPS += xilinx/axi_adxcvr LIB_DEPS += xilinx/util_adxcvr diff --git a/projects/adaq7980_sdz/zed/Makefile b/projects/adaq7980_sdz/zed/Makefile index a1d30f615..dcdb31ed3 100644 --- a/projects/adaq7980_sdz/zed/Makefile +++ b/projects/adaq7980_sdz/zed/Makefile @@ -16,11 +16,11 @@ LIB_DEPS += axi_hdmi_tx LIB_DEPS += axi_i2s_adi LIB_DEPS += axi_spdif_tx LIB_DEPS += axi_sysid -LIB_DEPS += sysid_rom LIB_DEPS += spi_engine/axi_spi_engine LIB_DEPS += spi_engine/spi_engine_execution LIB_DEPS += spi_engine/spi_engine_interconnect LIB_DEPS += spi_engine/spi_engine_offload +LIB_DEPS += sysid_rom LIB_DEPS += util_i2c_mixer LIB_DEPS += util_pulse_gen diff --git a/projects/adrv9009/zc706/Makefile b/projects/adrv9009/zc706/Makefile index e3c1a6ab7..7a92e6eaf 100644 --- a/projects/adrv9009/zc706/Makefile +++ b/projects/adrv9009/zc706/Makefile @@ -10,25 +10,28 @@ M_DEPS += ../../common/zc706/zc706_system_constr.xdc M_DEPS += ../../common/zc706/zc706_system_bd.tcl M_DEPS += ../../common/zc706/zc706_plddr3_dacfifo_bd.tcl M_DEPS += ../../common/zc706/zc706_plddr3_constr.xdc +M_DEPS += ../../common/xilinx/adi_fir_filter_bd.tcl M_DEPS += ../../../library/xilinx/common/ad_iobuf.v M_DEPS += ../../../library/jesd204/scripts/jesd204.tcl +M_DEPS += ../../../library/common/util_pulse_gen.v +M_DEPS += ../../../library/common/ad_bus_mux.v LIB_DEPS += axi_clkgen LIB_DEPS += axi_dmac LIB_DEPS += axi_hdmi_tx LIB_DEPS += axi_spdif_tx +LIB_DEPS += axi_sysid LIB_DEPS += jesd204/ad_ip_jesd204_tpl_adc LIB_DEPS += jesd204/ad_ip_jesd204_tpl_dac LIB_DEPS += jesd204/axi_jesd204_rx LIB_DEPS += jesd204/axi_jesd204_tx LIB_DEPS += jesd204/jesd204_rx LIB_DEPS += jesd204/jesd204_tx +LIB_DEPS += sysid_rom LIB_DEPS += util_pack/util_cpack2 LIB_DEPS += util_pack/util_upack2 LIB_DEPS += xilinx/axi_adxcvr LIB_DEPS += xilinx/axi_dacfifo LIB_DEPS += xilinx/util_adxcvr -LIB_DEPS += axi_sysid -LIB_DEPS += sysid_rom include ../../scripts/project-xilinx.mk diff --git a/projects/adrv9009/zcu102/Makefile b/projects/adrv9009/zcu102/Makefile index 12c413fa1..d27cc585d 100644 --- a/projects/adrv9009/zcu102/Makefile +++ b/projects/adrv9009/zcu102/Makefile @@ -9,23 +9,26 @@ M_DEPS += ../common/adrv9009_bd.tcl M_DEPS += ../../common/zcu102/zcu102_system_constr.xdc M_DEPS += ../../common/zcu102/zcu102_system_bd.tcl M_DEPS += ../../common/xilinx/dacfifo_bd.tcl +M_DEPS += ../../common/xilinx/adi_fir_filter_bd.tcl M_DEPS += ../../../library/xilinx/common/ad_iobuf.v M_DEPS += ../../../library/jesd204/scripts/jesd204.tcl +M_DEPS += ../../../library/common/util_pulse_gen.v +M_DEPS += ../../../library/common/ad_bus_mux.v LIB_DEPS += axi_clkgen LIB_DEPS += axi_dmac +LIB_DEPS += axi_sysid LIB_DEPS += jesd204/ad_ip_jesd204_tpl_adc LIB_DEPS += jesd204/ad_ip_jesd204_tpl_dac LIB_DEPS += jesd204/axi_jesd204_rx LIB_DEPS += jesd204/axi_jesd204_tx LIB_DEPS += jesd204/jesd204_rx LIB_DEPS += jesd204/jesd204_tx +LIB_DEPS += sysid_rom LIB_DEPS += util_dacfifo LIB_DEPS += util_pack/util_cpack2 LIB_DEPS += util_pack/util_upack2 LIB_DEPS += xilinx/axi_adxcvr LIB_DEPS += xilinx/util_adxcvr -LIB_DEPS += axi_sysid -LIB_DEPS += sysid_rom include ../../scripts/project-xilinx.mk diff --git a/projects/adrv9009_zu11eg_som/Makefile b/projects/adrv9009_zu11eg_som/Makefile index c04d2f10a..73e2e1c76 100644 --- a/projects/adrv9009_zu11eg_som/Makefile +++ b/projects/adrv9009_zu11eg_som/Makefile @@ -5,18 +5,18 @@ PROJECT_NAME := adrv9009_zu11eg_som +M_DEPS += carrier_bd.tcl M_DEPS += adrv9009_zu11eg_som_spi.v M_DEPS += adrv9009_zu11eg_som_bd.tcl -M_DEPS += carrier_bd.tcl -M_DEPS += adrv9009_zu11eg_som_constr.xdc M_DEPS += carrier_constr.xdc +M_DEPS += adrv9009_zu11eg_som_constr.xdc M_DEPS += ../../library/xilinx/common/ad_iobuf.v M_DEPS += ../../library/jesd204/scripts/jesd204.tcl -LIB_DEPS += axi_dmac -LIB_DEPS += axi_i2s_adi LIB_DEPS += axi_clkgen +LIB_DEPS += axi_dmac LIB_DEPS += axi_fan_control +LIB_DEPS += axi_i2s_adi LIB_DEPS += jesd204/ad_ip_jesd204_tpl_adc LIB_DEPS += jesd204/ad_ip_jesd204_tpl_dac LIB_DEPS += jesd204/axi_jesd204_rx diff --git a/projects/adrv9371x/kcu105/Makefile b/projects/adrv9371x/kcu105/Makefile index ae46cd994..64e3bdb9b 100644 --- a/projects/adrv9371x/kcu105/Makefile +++ b/projects/adrv9371x/kcu105/Makefile @@ -7,27 +7,30 @@ PROJECT_NAME := adrv9371x_kcu105 M_DEPS += ../common/adrv9371x_bd.tcl M_DEPS += ../../common/xilinx/dacfifo_bd.tcl +M_DEPS += ../../common/xilinx/adi_fir_filter_bd.tcl M_DEPS += ../../common/kcu105/kcu105_system_mig.tcl M_DEPS += ../../common/kcu105/kcu105_system_lutram_constr.xdc M_DEPS += ../../common/kcu105/kcu105_system_constr.xdc M_DEPS += ../../common/kcu105/kcu105_system_bd.tcl M_DEPS += ../../../library/xilinx/common/ad_iobuf.v M_DEPS += ../../../library/jesd204/scripts/jesd204.tcl +M_DEPS += ../../../library/common/util_pulse_gen.v +M_DEPS += ../../../library/common/ad_bus_mux.v LIB_DEPS += axi_clkgen LIB_DEPS += axi_dmac +LIB_DEPS += axi_sysid LIB_DEPS += jesd204/ad_ip_jesd204_tpl_adc LIB_DEPS += jesd204/ad_ip_jesd204_tpl_dac LIB_DEPS += jesd204/axi_jesd204_rx LIB_DEPS += jesd204/axi_jesd204_tx LIB_DEPS += jesd204/jesd204_rx LIB_DEPS += jesd204/jesd204_tx +LIB_DEPS += sysid_rom LIB_DEPS += util_dacfifo LIB_DEPS += util_pack/util_cpack2 LIB_DEPS += util_pack/util_upack2 LIB_DEPS += xilinx/axi_adxcvr LIB_DEPS += xilinx/util_adxcvr -LIB_DEPS += axi_sysid -LIB_DEPS += sysid_rom include ../../scripts/project-xilinx.mk diff --git a/projects/adrv9371x/zc706/Makefile b/projects/adrv9371x/zc706/Makefile index 8ec3f7017..455148e33 100644 --- a/projects/adrv9371x/zc706/Makefile +++ b/projects/adrv9371x/zc706/Makefile @@ -10,25 +10,28 @@ M_DEPS += ../../common/zc706/zc706_system_constr.xdc M_DEPS += ../../common/zc706/zc706_system_bd.tcl M_DEPS += ../../common/zc706/zc706_plddr3_dacfifo_bd.tcl M_DEPS += ../../common/zc706/zc706_plddr3_constr.xdc +M_DEPS += ../../common/xilinx/adi_fir_filter_bd.tcl M_DEPS += ../../../library/xilinx/common/ad_iobuf.v M_DEPS += ../../../library/jesd204/scripts/jesd204.tcl +M_DEPS += ../../../library/common/util_pulse_gen.v +M_DEPS += ../../../library/common/ad_bus_mux.v LIB_DEPS += axi_clkgen LIB_DEPS += axi_dmac LIB_DEPS += axi_hdmi_tx LIB_DEPS += axi_spdif_tx +LIB_DEPS += axi_sysid LIB_DEPS += jesd204/ad_ip_jesd204_tpl_adc LIB_DEPS += jesd204/ad_ip_jesd204_tpl_dac LIB_DEPS += jesd204/axi_jesd204_rx LIB_DEPS += jesd204/axi_jesd204_tx LIB_DEPS += jesd204/jesd204_rx LIB_DEPS += jesd204/jesd204_tx +LIB_DEPS += sysid_rom LIB_DEPS += util_pack/util_cpack2 LIB_DEPS += util_pack/util_upack2 LIB_DEPS += xilinx/axi_adxcvr LIB_DEPS += xilinx/axi_dacfifo LIB_DEPS += xilinx/util_adxcvr -LIB_DEPS += axi_sysid -LIB_DEPS += sysid_rom include ../../scripts/project-xilinx.mk diff --git a/projects/adrv9371x/zcu102/Makefile b/projects/adrv9371x/zcu102/Makefile index e5717d790..219a1e534 100644 --- a/projects/adrv9371x/zcu102/Makefile +++ b/projects/adrv9371x/zcu102/Makefile @@ -9,23 +9,26 @@ M_DEPS += ../common/adrv9371x_bd.tcl M_DEPS += ../../common/zcu102/zcu102_system_constr.xdc M_DEPS += ../../common/zcu102/zcu102_system_bd.tcl M_DEPS += ../../common/xilinx/dacfifo_bd.tcl +M_DEPS += ../../common/xilinx/adi_fir_filter_bd.tcl M_DEPS += ../../../library/xilinx/common/ad_iobuf.v M_DEPS += ../../../library/jesd204/scripts/jesd204.tcl +M_DEPS += ../../../library/common/util_pulse_gen.v +M_DEPS += ../../../library/common/ad_bus_mux.v LIB_DEPS += axi_clkgen LIB_DEPS += axi_dmac +LIB_DEPS += axi_sysid LIB_DEPS += jesd204/ad_ip_jesd204_tpl_adc LIB_DEPS += jesd204/ad_ip_jesd204_tpl_dac LIB_DEPS += jesd204/axi_jesd204_rx LIB_DEPS += jesd204/axi_jesd204_tx LIB_DEPS += jesd204/jesd204_rx LIB_DEPS += jesd204/jesd204_tx +LIB_DEPS += sysid_rom LIB_DEPS += util_dacfifo LIB_DEPS += util_pack/util_cpack2 LIB_DEPS += util_pack/util_upack2 LIB_DEPS += xilinx/axi_adxcvr LIB_DEPS += xilinx/util_adxcvr -LIB_DEPS += axi_sysid -LIB_DEPS += sysid_rom include ../../scripts/project-xilinx.mk diff --git a/projects/cn0363/zed/Makefile b/projects/cn0363/zed/Makefile index 9598b906d..32a1f9969 100644 --- a/projects/cn0363/zed/Makefile +++ b/projects/cn0363/zed/Makefile @@ -16,6 +16,7 @@ LIB_DEPS += axi_generic_adc LIB_DEPS += axi_hdmi_tx LIB_DEPS += axi_i2s_adi LIB_DEPS += axi_spdif_tx +LIB_DEPS += axi_sysid LIB_DEPS += cn0363/cn0363_dma_sequencer LIB_DEPS += cn0363/cn0363_phase_data_sync LIB_DEPS += cordic_demod @@ -23,10 +24,9 @@ LIB_DEPS += spi_engine/axi_spi_engine LIB_DEPS += spi_engine/spi_engine_execution LIB_DEPS += spi_engine/spi_engine_interconnect LIB_DEPS += spi_engine/spi_engine_offload +LIB_DEPS += sysid_rom LIB_DEPS += util_axis_resize LIB_DEPS += util_i2c_mixer LIB_DEPS += util_sigma_delta_spi -LIB_DEPS += axi_sysid -LIB_DEPS += sysid_rom include ../../scripts/project-xilinx.mk diff --git a/projects/dac_fmc_ebz/a10soc/Makefile b/projects/dac_fmc_ebz/a10soc/Makefile index ac2633eaf..f1a4dd8e9 100644 --- a/projects/dac_fmc_ebz/a10soc/Makefile +++ b/projects/dac_fmc_ebz/a10soc/Makefile @@ -12,8 +12,8 @@ M_DEPS += ../../common/a10soc/a10soc_system_assign.tcl M_DEPS += ../../common/a10soc/a10soc_plddr4_dacfifo_qsys.tcl M_DEPS += ../../common/a10soc/a10soc_plddr4_assign.tcl -LIB_DEPS += intel/adi_jesd204 LIB_DEPS += axi_dmac +LIB_DEPS += intel/adi_jesd204 LIB_DEPS += jesd204/ad_ip_jesd204_tpl_dac LIB_DEPS += util_pack/util_upack2 diff --git a/projects/dac_fmc_ebz/zc706/Makefile b/projects/dac_fmc_ebz/zc706/Makefile index 93f7e6190..cea7f7c22 100644 --- a/projects/dac_fmc_ebz/zc706/Makefile +++ b/projects/dac_fmc_ebz/zc706/Makefile @@ -17,14 +17,14 @@ LIB_DEPS += axi_clkgen LIB_DEPS += axi_dmac LIB_DEPS += axi_hdmi_tx LIB_DEPS += axi_spdif_tx +LIB_DEPS += axi_sysid LIB_DEPS += jesd204/ad_ip_jesd204_tpl_dac LIB_DEPS += jesd204/axi_jesd204_tx LIB_DEPS += jesd204/jesd204_tx +LIB_DEPS += sysid_rom LIB_DEPS += util_dacfifo LIB_DEPS += util_pack/util_upack2 LIB_DEPS += xilinx/axi_adxcvr LIB_DEPS += xilinx/util_adxcvr -LIB_DEPS += axi_sysid -LIB_DEPS += sysid_rom include ../../scripts/project-xilinx.mk diff --git a/projects/dac_fmc_ebz/zcu102/Makefile b/projects/dac_fmc_ebz/zcu102/Makefile index c9b0cde2d..4795f18ff 100644 --- a/projects/dac_fmc_ebz/zcu102/Makefile +++ b/projects/dac_fmc_ebz/zcu102/Makefile @@ -14,14 +14,14 @@ M_DEPS += ../../../library/xilinx/common/ad_iobuf.v M_DEPS += ../../../library/jesd204/scripts/jesd204.tcl LIB_DEPS += axi_dmac +LIB_DEPS += axi_sysid LIB_DEPS += jesd204/ad_ip_jesd204_tpl_dac LIB_DEPS += jesd204/axi_jesd204_tx LIB_DEPS += jesd204/jesd204_tx +LIB_DEPS += sysid_rom LIB_DEPS += util_dacfifo LIB_DEPS += util_pack/util_upack2 LIB_DEPS += xilinx/axi_adxcvr LIB_DEPS += xilinx/util_adxcvr -LIB_DEPS += axi_sysid -LIB_DEPS += sysid_rom include ../../scripts/project-xilinx.mk diff --git a/projects/daq2/kc705/Makefile b/projects/daq2/kc705/Makefile index fa9ce7bed..cf266196a 100644 --- a/projects/daq2/kc705/Makefile +++ b/projects/daq2/kc705/Makefile @@ -18,17 +18,17 @@ M_DEPS += ../../../library/jesd204/scripts/jesd204.tcl LIB_DEPS += axi_ad9144 LIB_DEPS += axi_ad9680 LIB_DEPS += axi_dmac +LIB_DEPS += axi_sysid LIB_DEPS += jesd204/axi_jesd204_rx LIB_DEPS += jesd204/axi_jesd204_tx LIB_DEPS += jesd204/jesd204_rx LIB_DEPS += jesd204/jesd204_tx +LIB_DEPS += sysid_rom LIB_DEPS += util_adcfifo LIB_DEPS += util_dacfifo LIB_DEPS += util_pack/util_cpack2 LIB_DEPS += util_pack/util_upack2 LIB_DEPS += xilinx/axi_adxcvr LIB_DEPS += xilinx/util_adxcvr -LIB_DEPS += axi_sysid -LIB_DEPS += sysid_rom include ../../scripts/project-xilinx.mk diff --git a/projects/daq2/kcu105/Makefile b/projects/daq2/kcu105/Makefile index f697bdc88..88f4ecc3d 100644 --- a/projects/daq2/kcu105/Makefile +++ b/projects/daq2/kcu105/Makefile @@ -17,17 +17,17 @@ M_DEPS += ../../../library/jesd204/scripts/jesd204.tcl LIB_DEPS += axi_ad9144 LIB_DEPS += axi_ad9680 LIB_DEPS += axi_dmac +LIB_DEPS += axi_sysid LIB_DEPS += jesd204/axi_jesd204_rx LIB_DEPS += jesd204/axi_jesd204_tx LIB_DEPS += jesd204/jesd204_rx LIB_DEPS += jesd204/jesd204_tx +LIB_DEPS += sysid_rom LIB_DEPS += util_adcfifo LIB_DEPS += util_dacfifo LIB_DEPS += util_pack/util_cpack2 LIB_DEPS += util_pack/util_upack2 LIB_DEPS += xilinx/axi_adxcvr LIB_DEPS += xilinx/util_adxcvr -LIB_DEPS += axi_sysid -LIB_DEPS += sysid_rom include ../../scripts/project-xilinx.mk diff --git a/projects/daq2/zc706/Makefile b/projects/daq2/zc706/Makefile index dbf4052da..b1e6bce3a 100644 --- a/projects/daq2/zc706/Makefile +++ b/projects/daq2/zc706/Makefile @@ -21,17 +21,17 @@ LIB_DEPS += axi_clkgen LIB_DEPS += axi_dmac LIB_DEPS += axi_hdmi_tx LIB_DEPS += axi_spdif_tx +LIB_DEPS += axi_sysid LIB_DEPS += jesd204/axi_jesd204_rx LIB_DEPS += jesd204/axi_jesd204_tx LIB_DEPS += jesd204/jesd204_rx LIB_DEPS += jesd204/jesd204_tx +LIB_DEPS += sysid_rom LIB_DEPS += util_dacfifo LIB_DEPS += util_pack/util_cpack2 LIB_DEPS += util_pack/util_upack2 LIB_DEPS += xilinx/axi_adcfifo LIB_DEPS += xilinx/axi_adxcvr LIB_DEPS += xilinx/util_adxcvr -LIB_DEPS += axi_sysid -LIB_DEPS += sysid_rom include ../../scripts/project-xilinx.mk diff --git a/projects/daq2/zcu102/Makefile b/projects/daq2/zcu102/Makefile index 26e34827f..de4a4c68b 100644 --- a/projects/daq2/zcu102/Makefile +++ b/projects/daq2/zcu102/Makefile @@ -17,17 +17,17 @@ M_DEPS += ../../../library/jesd204/scripts/jesd204.tcl LIB_DEPS += axi_ad9144 LIB_DEPS += axi_ad9680 LIB_DEPS += axi_dmac +LIB_DEPS += axi_sysid LIB_DEPS += jesd204/axi_jesd204_rx LIB_DEPS += jesd204/axi_jesd204_tx LIB_DEPS += jesd204/jesd204_rx LIB_DEPS += jesd204/jesd204_tx +LIB_DEPS += sysid_rom LIB_DEPS += util_adcfifo LIB_DEPS += util_dacfifo LIB_DEPS += util_pack/util_cpack2 LIB_DEPS += util_pack/util_upack2 LIB_DEPS += xilinx/axi_adxcvr LIB_DEPS += xilinx/util_adxcvr -LIB_DEPS += axi_sysid -LIB_DEPS += sysid_rom include ../../scripts/project-xilinx.mk diff --git a/projects/daq3/kcu105/Makefile b/projects/daq3/kcu105/Makefile index da368afae..10f8da4a3 100644 --- a/projects/daq3/kcu105/Makefile +++ b/projects/daq3/kcu105/Makefile @@ -17,17 +17,17 @@ M_DEPS += ../../../library/jesd204/scripts/jesd204.tcl LIB_DEPS += axi_ad9152 LIB_DEPS += axi_ad9680 LIB_DEPS += axi_dmac +LIB_DEPS += axi_sysid LIB_DEPS += jesd204/axi_jesd204_rx LIB_DEPS += jesd204/axi_jesd204_tx LIB_DEPS += jesd204/jesd204_rx LIB_DEPS += jesd204/jesd204_tx +LIB_DEPS += sysid_rom LIB_DEPS += util_adcfifo LIB_DEPS += util_dacfifo LIB_DEPS += util_pack/util_cpack2 LIB_DEPS += util_pack/util_upack2 LIB_DEPS += xilinx/axi_adxcvr LIB_DEPS += xilinx/util_adxcvr -LIB_DEPS += axi_sysid -LIB_DEPS += sysid_rom include ../../scripts/project-xilinx.mk diff --git a/projects/daq3/vcu118/Makefile b/projects/daq3/vcu118/Makefile index 7a47727b0..87dc79ed0 100644 --- a/projects/daq3/vcu118/Makefile +++ b/projects/daq3/vcu118/Makefile @@ -17,17 +17,17 @@ M_DEPS += ../../../library/jesd204/scripts/jesd204.tcl LIB_DEPS += axi_ad9152 LIB_DEPS += axi_ad9680 LIB_DEPS += axi_dmac +LIB_DEPS += axi_sysid LIB_DEPS += jesd204/axi_jesd204_rx LIB_DEPS += jesd204/axi_jesd204_tx LIB_DEPS += jesd204/jesd204_rx LIB_DEPS += jesd204/jesd204_tx +LIB_DEPS += sysid_rom LIB_DEPS += util_adcfifo LIB_DEPS += util_dacfifo LIB_DEPS += util_pack/util_cpack2 LIB_DEPS += util_pack/util_upack2 LIB_DEPS += xilinx/axi_adxcvr LIB_DEPS += xilinx/util_adxcvr -LIB_DEPS += axi_sysid -LIB_DEPS += sysid_rom include ../../scripts/project-xilinx.mk diff --git a/projects/daq3/zc706/Makefile b/projects/daq3/zc706/Makefile index 0abceee0f..2c2cedef3 100644 --- a/projects/daq3/zc706/Makefile +++ b/projects/daq3/zc706/Makefile @@ -21,17 +21,17 @@ LIB_DEPS += axi_clkgen LIB_DEPS += axi_dmac LIB_DEPS += axi_hdmi_tx LIB_DEPS += axi_spdif_tx +LIB_DEPS += axi_sysid LIB_DEPS += jesd204/axi_jesd204_rx LIB_DEPS += jesd204/axi_jesd204_tx LIB_DEPS += jesd204/jesd204_rx LIB_DEPS += jesd204/jesd204_tx +LIB_DEPS += sysid_rom LIB_DEPS += util_dacfifo LIB_DEPS += util_pack/util_cpack2 LIB_DEPS += util_pack/util_upack2 LIB_DEPS += xilinx/axi_adcfifo LIB_DEPS += xilinx/axi_adxcvr LIB_DEPS += xilinx/util_adxcvr -LIB_DEPS += axi_sysid -LIB_DEPS += sysid_rom include ../../scripts/project-xilinx.mk diff --git a/projects/daq3/zcu102/Makefile b/projects/daq3/zcu102/Makefile index a14a7ee94..3165e242c 100644 --- a/projects/daq3/zcu102/Makefile +++ b/projects/daq3/zcu102/Makefile @@ -16,16 +16,16 @@ M_DEPS += ../../../library/jesd204/scripts/jesd204.tcl LIB_DEPS += axi_ad9152 LIB_DEPS += axi_ad9680 LIB_DEPS += axi_dmac +LIB_DEPS += axi_sysid LIB_DEPS += jesd204/axi_jesd204_rx LIB_DEPS += jesd204/axi_jesd204_tx LIB_DEPS += jesd204/jesd204_rx LIB_DEPS += jesd204/jesd204_tx +LIB_DEPS += sysid_rom LIB_DEPS += util_dacfifo LIB_DEPS += util_pack/util_cpack2 LIB_DEPS += util_pack/util_upack2 LIB_DEPS += xilinx/axi_adxcvr LIB_DEPS += xilinx/util_adxcvr -LIB_DEPS += axi_sysid -LIB_DEPS += sysid_rom include ../../scripts/project-xilinx.mk diff --git a/projects/fmcadc2/vc707/Makefile b/projects/fmcadc2/vc707/Makefile index 709fd19e5..069ae8d97 100644 --- a/projects/fmcadc2/vc707/Makefile +++ b/projects/fmcadc2/vc707/Makefile @@ -17,12 +17,12 @@ M_DEPS += ../../../library/common/ad_sysref_gen.v LIB_DEPS += axi_ad9625 LIB_DEPS += axi_dmac +LIB_DEPS += axi_sysid LIB_DEPS += jesd204/axi_jesd204_rx LIB_DEPS += jesd204/jesd204_rx +LIB_DEPS += sysid_rom LIB_DEPS += util_adcfifo LIB_DEPS += xilinx/axi_adxcvr LIB_DEPS += xilinx/util_adxcvr -LIB_DEPS += axi_sysid -LIB_DEPS += sysid_rom include ../../scripts/project-xilinx.mk diff --git a/projects/fmcadc2/zc706/Makefile b/projects/fmcadc2/zc706/Makefile index 8b63d8ee2..628663ea0 100644 --- a/projects/fmcadc2/zc706/Makefile +++ b/projects/fmcadc2/zc706/Makefile @@ -20,12 +20,12 @@ LIB_DEPS += axi_clkgen LIB_DEPS += axi_dmac LIB_DEPS += axi_hdmi_tx LIB_DEPS += axi_spdif_tx +LIB_DEPS += axi_sysid LIB_DEPS += jesd204/axi_jesd204_rx LIB_DEPS += jesd204/jesd204_rx +LIB_DEPS += sysid_rom LIB_DEPS += xilinx/axi_adcfifo LIB_DEPS += xilinx/axi_adxcvr LIB_DEPS += xilinx/util_adxcvr -LIB_DEPS += axi_sysid -LIB_DEPS += sysid_rom include ../../scripts/project-xilinx.mk diff --git a/projects/fmcadc5/vc707/Makefile b/projects/fmcadc5/vc707/Makefile index 0d289012f..891b995b3 100644 --- a/projects/fmcadc5/vc707/Makefile +++ b/projects/fmcadc5/vc707/Makefile @@ -17,13 +17,13 @@ M_DEPS += ../../../library/jesd204/scripts/jesd204.tcl LIB_DEPS += axi_ad9625 LIB_DEPS += axi_dmac LIB_DEPS += axi_fmcadc5_sync +LIB_DEPS += axi_sysid LIB_DEPS += jesd204/axi_jesd204_rx LIB_DEPS += jesd204/jesd204_rx +LIB_DEPS += sysid_rom LIB_DEPS += util_adcfifo LIB_DEPS += util_mfifo LIB_DEPS += xilinx/axi_adxcvr LIB_DEPS += xilinx/util_adxcvr -LIB_DEPS += axi_sysid -LIB_DEPS += sysid_rom include ../../scripts/project-xilinx.mk diff --git a/projects/fmcjesdadc1/kc705/Makefile b/projects/fmcjesdadc1/kc705/Makefile index 0116b15e5..ab5d9b64d 100644 --- a/projects/fmcjesdadc1/kc705/Makefile +++ b/projects/fmcjesdadc1/kc705/Makefile @@ -16,13 +16,13 @@ M_DEPS += ../../../library/common/ad_sysref_gen.v LIB_DEPS += axi_ad9250 LIB_DEPS += axi_dmac +LIB_DEPS += axi_sysid LIB_DEPS += jesd204/axi_jesd204_rx LIB_DEPS += jesd204/jesd204_rx +LIB_DEPS += sysid_rom LIB_DEPS += util_bsplit LIB_DEPS += util_pack/util_cpack2 LIB_DEPS += xilinx/axi_adxcvr LIB_DEPS += xilinx/util_adxcvr -LIB_DEPS += axi_sysid -LIB_DEPS += sysid_rom include ../../scripts/project-xilinx.mk diff --git a/projects/fmcjesdadc1/vc707/Makefile b/projects/fmcjesdadc1/vc707/Makefile index 32691b198..916a27ac2 100644 --- a/projects/fmcjesdadc1/vc707/Makefile +++ b/projects/fmcjesdadc1/vc707/Makefile @@ -16,13 +16,13 @@ M_DEPS += ../../../library/common/ad_sysref_gen.v LIB_DEPS += axi_ad9250 LIB_DEPS += axi_dmac +LIB_DEPS += axi_sysid LIB_DEPS += jesd204/axi_jesd204_rx LIB_DEPS += jesd204/jesd204_rx +LIB_DEPS += sysid_rom LIB_DEPS += util_bsplit LIB_DEPS += util_pack/util_cpack2 LIB_DEPS += xilinx/axi_adxcvr LIB_DEPS += xilinx/util_adxcvr -LIB_DEPS += axi_sysid -LIB_DEPS += sysid_rom include ../../scripts/project-xilinx.mk diff --git a/projects/fmcjesdadc1/zc706/Makefile b/projects/fmcjesdadc1/zc706/Makefile index d478f96a7..656cf4d89 100644 --- a/projects/fmcjesdadc1/zc706/Makefile +++ b/projects/fmcjesdadc1/zc706/Makefile @@ -18,13 +18,13 @@ LIB_DEPS += axi_clkgen LIB_DEPS += axi_dmac LIB_DEPS += axi_hdmi_tx LIB_DEPS += axi_spdif_tx +LIB_DEPS += axi_sysid LIB_DEPS += jesd204/axi_jesd204_rx LIB_DEPS += jesd204/jesd204_rx +LIB_DEPS += sysid_rom LIB_DEPS += util_bsplit LIB_DEPS += util_pack/util_cpack2 LIB_DEPS += xilinx/axi_adxcvr LIB_DEPS += xilinx/util_adxcvr -LIB_DEPS += axi_sysid -LIB_DEPS += sysid_rom include ../../scripts/project-xilinx.mk diff --git a/projects/fmcomms11/zc706/Makefile b/projects/fmcomms11/zc706/Makefile index 0b669666b..12b11e94a 100644 --- a/projects/fmcomms11/zc706/Makefile +++ b/projects/fmcomms11/zc706/Makefile @@ -19,18 +19,18 @@ LIB_DEPS += axi_clkgen LIB_DEPS += axi_dmac LIB_DEPS += axi_hdmi_tx LIB_DEPS += axi_spdif_tx +LIB_DEPS += axi_sysid LIB_DEPS += jesd204/ad_ip_jesd204_tpl_adc LIB_DEPS += jesd204/ad_ip_jesd204_tpl_dac LIB_DEPS += jesd204/axi_jesd204_rx LIB_DEPS += jesd204/axi_jesd204_tx LIB_DEPS += jesd204/jesd204_rx LIB_DEPS += jesd204/jesd204_tx +LIB_DEPS += sysid_rom LIB_DEPS += util_dacfifo LIB_DEPS += util_pack/util_upack2 LIB_DEPS += xilinx/axi_adcfifo LIB_DEPS += xilinx/axi_adxcvr LIB_DEPS += xilinx/util_adxcvr -LIB_DEPS += axi_sysid -LIB_DEPS += sysid_rom include ../../scripts/project-xilinx.mk diff --git a/projects/fmcomms2/kc705/Makefile b/projects/fmcomms2/kc705/Makefile index 0705c74fb..b79ab572f 100644 --- a/projects/fmcomms2/kc705/Makefile +++ b/projects/fmcomms2/kc705/Makefile @@ -14,13 +14,13 @@ M_DEPS += ../../../library/axi_ad9361/axi_ad9361_delay.tcl LIB_DEPS += axi_ad9361 LIB_DEPS += axi_dmac +LIB_DEPS += axi_sysid +LIB_DEPS += sysid_rom LIB_DEPS += util_pack/util_cpack2 LIB_DEPS += util_pack/util_upack2 LIB_DEPS += util_rfifo LIB_DEPS += util_tdd_sync LIB_DEPS += util_wfifo LIB_DEPS += xilinx/util_clkdiv -LIB_DEPS += axi_sysid -LIB_DEPS += sysid_rom include ../../scripts/project-xilinx.mk diff --git a/projects/fmcomms2/kcu105/Makefile b/projects/fmcomms2/kcu105/Makefile index a48c2d05b..8b5d2baa8 100644 --- a/projects/fmcomms2/kcu105/Makefile +++ b/projects/fmcomms2/kcu105/Makefile @@ -15,13 +15,13 @@ M_DEPS += ../../../library/axi_ad9361/axi_ad9361_delay.tcl LIB_DEPS += axi_ad9361 LIB_DEPS += axi_dmac +LIB_DEPS += axi_sysid +LIB_DEPS += sysid_rom LIB_DEPS += util_pack/util_cpack2 LIB_DEPS += util_pack/util_upack2 LIB_DEPS += util_rfifo LIB_DEPS += util_tdd_sync LIB_DEPS += util_wfifo LIB_DEPS += xilinx/util_clkdiv -LIB_DEPS += axi_sysid -LIB_DEPS += sysid_rom include ../../scripts/project-xilinx.mk diff --git a/projects/fmcomms2/vc707/Makefile b/projects/fmcomms2/vc707/Makefile index 99ae5244b..1d6627d4e 100644 --- a/projects/fmcomms2/vc707/Makefile +++ b/projects/fmcomms2/vc707/Makefile @@ -14,13 +14,13 @@ M_DEPS += ../../../library/axi_ad9361/axi_ad9361_delay.tcl LIB_DEPS += axi_ad9361 LIB_DEPS += axi_dmac +LIB_DEPS += axi_sysid +LIB_DEPS += sysid_rom LIB_DEPS += util_pack/util_cpack2 LIB_DEPS += util_pack/util_upack2 LIB_DEPS += util_rfifo LIB_DEPS += util_tdd_sync LIB_DEPS += util_wfifo LIB_DEPS += xilinx/util_clkdiv -LIB_DEPS += axi_sysid -LIB_DEPS += sysid_rom include ../../scripts/project-xilinx.mk diff --git a/projects/fmcomms2/zc702/Makefile b/projects/fmcomms2/zc702/Makefile index cb4f77fd9..288ea8f79 100644 --- a/projects/fmcomms2/zc702/Makefile +++ b/projects/fmcomms2/zc702/Makefile @@ -16,13 +16,13 @@ LIB_DEPS += axi_clkgen LIB_DEPS += axi_dmac LIB_DEPS += axi_hdmi_tx LIB_DEPS += axi_spdif_tx +LIB_DEPS += axi_sysid +LIB_DEPS += sysid_rom LIB_DEPS += util_pack/util_cpack2 LIB_DEPS += util_pack/util_upack2 LIB_DEPS += util_rfifo LIB_DEPS += util_tdd_sync LIB_DEPS += util_wfifo LIB_DEPS += xilinx/util_clkdiv -LIB_DEPS += axi_sysid -LIB_DEPS += sysid_rom include ../../scripts/project-xilinx.mk diff --git a/projects/fmcomms2/zc706/Makefile b/projects/fmcomms2/zc706/Makefile index d67dae42a..5b14aabde 100644 --- a/projects/fmcomms2/zc706/Makefile +++ b/projects/fmcomms2/zc706/Makefile @@ -16,13 +16,13 @@ LIB_DEPS += axi_clkgen LIB_DEPS += axi_dmac LIB_DEPS += axi_hdmi_tx LIB_DEPS += axi_spdif_tx +LIB_DEPS += axi_sysid +LIB_DEPS += sysid_rom LIB_DEPS += util_pack/util_cpack2 LIB_DEPS += util_pack/util_upack2 LIB_DEPS += util_rfifo LIB_DEPS += util_tdd_sync LIB_DEPS += util_wfifo LIB_DEPS += xilinx/util_clkdiv -LIB_DEPS += axi_sysid -LIB_DEPS += sysid_rom include ../../scripts/project-xilinx.mk diff --git a/projects/fmcomms2/zcu102/Makefile b/projects/fmcomms2/zcu102/Makefile index 7d8fb63d8..eb8daf66d 100644 --- a/projects/fmcomms2/zcu102/Makefile +++ b/projects/fmcomms2/zcu102/Makefile @@ -13,13 +13,13 @@ M_DEPS += ../../../library/axi_ad9361/axi_ad9361_delay.tcl LIB_DEPS += axi_ad9361 LIB_DEPS += axi_dmac +LIB_DEPS += axi_sysid +LIB_DEPS += sysid_rom LIB_DEPS += util_pack/util_cpack2 LIB_DEPS += util_pack/util_upack2 LIB_DEPS += util_rfifo LIB_DEPS += util_tdd_sync LIB_DEPS += util_wfifo LIB_DEPS += xilinx/util_clkdiv -LIB_DEPS += axi_sysid -LIB_DEPS += sysid_rom include ../../scripts/project-xilinx.mk diff --git a/projects/fmcomms2/zed/Makefile b/projects/fmcomms2/zed/Makefile index 1cfe5a64b..d8a62be18 100644 --- a/projects/fmcomms2/zed/Makefile +++ b/projects/fmcomms2/zed/Makefile @@ -17,6 +17,8 @@ LIB_DEPS += axi_dmac LIB_DEPS += axi_hdmi_tx LIB_DEPS += axi_i2s_adi LIB_DEPS += axi_spdif_tx +LIB_DEPS += axi_sysid +LIB_DEPS += sysid_rom LIB_DEPS += util_i2c_mixer LIB_DEPS += util_pack/util_cpack2 LIB_DEPS += util_pack/util_upack2 @@ -24,7 +26,5 @@ LIB_DEPS += util_rfifo LIB_DEPS += util_tdd_sync LIB_DEPS += util_wfifo LIB_DEPS += xilinx/util_clkdiv -LIB_DEPS += axi_sysid -LIB_DEPS += sysid_rom include ../../scripts/project-xilinx.mk diff --git a/projects/fmcomms5/zc702/Makefile b/projects/fmcomms5/zc702/Makefile index 307b88814..d72a48367 100644 --- a/projects/fmcomms5/zc702/Makefile +++ b/projects/fmcomms5/zc702/Makefile @@ -16,12 +16,12 @@ LIB_DEPS += axi_clkgen LIB_DEPS += axi_dmac LIB_DEPS += axi_hdmi_tx LIB_DEPS += axi_spdif_tx +LIB_DEPS += axi_sysid +LIB_DEPS += sysid_rom LIB_DEPS += util_pack/util_cpack2 LIB_DEPS += util_pack/util_upack2 LIB_DEPS += util_rfifo LIB_DEPS += util_wfifo LIB_DEPS += xilinx/util_clkdiv -LIB_DEPS += axi_sysid -LIB_DEPS += sysid_rom include ../../scripts/project-xilinx.mk diff --git a/projects/fmcomms5/zc706/Makefile b/projects/fmcomms5/zc706/Makefile index 67142cf7a..462cf1ff7 100644 --- a/projects/fmcomms5/zc706/Makefile +++ b/projects/fmcomms5/zc706/Makefile @@ -16,12 +16,12 @@ LIB_DEPS += axi_clkgen LIB_DEPS += axi_dmac LIB_DEPS += axi_hdmi_tx LIB_DEPS += axi_spdif_tx +LIB_DEPS += axi_sysid +LIB_DEPS += sysid_rom LIB_DEPS += util_pack/util_cpack2 LIB_DEPS += util_pack/util_upack2 LIB_DEPS += util_rfifo LIB_DEPS += util_wfifo LIB_DEPS += xilinx/util_clkdiv -LIB_DEPS += axi_sysid -LIB_DEPS += sysid_rom include ../../scripts/project-xilinx.mk diff --git a/projects/fmcomms5/zcu102/Makefile b/projects/fmcomms5/zcu102/Makefile index f3d1706c6..804246b25 100644 --- a/projects/fmcomms5/zcu102/Makefile +++ b/projects/fmcomms5/zcu102/Makefile @@ -13,12 +13,12 @@ M_DEPS += ../../../library/axi_ad9361/axi_ad9361_delay.tcl LIB_DEPS += axi_ad9361 LIB_DEPS += axi_dmac +LIB_DEPS += axi_sysid +LIB_DEPS += sysid_rom LIB_DEPS += util_pack/util_cpack2 LIB_DEPS += util_pack/util_upack2 LIB_DEPS += util_rfifo LIB_DEPS += util_wfifo LIB_DEPS += xilinx/util_clkdiv -LIB_DEPS += axi_sysid -LIB_DEPS += sysid_rom include ../../scripts/project-xilinx.mk diff --git a/projects/imageon/zed/Makefile b/projects/imageon/zed/Makefile index 050f2987d..888ac16eb 100644 --- a/projects/imageon/zed/Makefile +++ b/projects/imageon/zed/Makefile @@ -16,8 +16,8 @@ LIB_DEPS += axi_hdmi_tx LIB_DEPS += axi_i2s_adi LIB_DEPS += axi_spdif_rx LIB_DEPS += axi_spdif_tx -LIB_DEPS += util_i2c_mixer LIB_DEPS += axi_sysid LIB_DEPS += sysid_rom +LIB_DEPS += util_i2c_mixer include ../../scripts/project-xilinx.mk