diff --git a/library/jesd204/axi_jesd204_rx/Makefile b/library/jesd204/axi_jesd204_rx/Makefile index 23e8dfb55..6f5207fbb 100644 --- a/library/jesd204/axi_jesd204_rx/Makefile +++ b/library/jesd204/axi_jesd204_rx/Makefile @@ -16,6 +16,7 @@ M_DEPS += axi_jesd204_rx_ip.tcl M_DEPS += jesd204_up_ilas_mem.v M_DEPS += jesd204_up_rx.v M_DEPS += jesd204_up_rx_lane.v +M_DEPS += set_propertyPROCESSING_ORDERLATEipx::get_filesaxi_jesd204_rx_constr.xdc M_DEPS += ../../jesd204/axi_jesd204_common/axi_jesd204_common.xpr M_DEPS += ../../util_cdc/util_cdc.xpr diff --git a/library/jesd204/axi_jesd204_tx/Makefile b/library/jesd204/axi_jesd204_tx/Makefile index 1615f4afe..850b9d013 100644 --- a/library/jesd204/axi_jesd204_tx/Makefile +++ b/library/jesd204/axi_jesd204_tx/Makefile @@ -14,6 +14,7 @@ M_DEPS += axi_jesd204_tx.v M_DEPS += axi_jesd204_tx_constr.xdc M_DEPS += axi_jesd204_tx_ip.tcl M_DEPS += jesd204_up_tx.v +M_DEPS += set_propertyPROCESSING_ORDERLATEipx::get_filesaxi_jesd204_tx_constr.xdc M_DEPS += ../../jesd204/axi_jesd204_common/axi_jesd204_common.xpr M_DEPS += ../../util_cdc/util_cdc.xpr diff --git a/library/jesd204/jesd204_rx/Makefile b/library/jesd204/jesd204_rx/Makefile index 9893ae950..97dd7c4aa 100644 --- a/library/jesd204/jesd204_rx/Makefile +++ b/library/jesd204/jesd204_rx/Makefile @@ -17,6 +17,7 @@ M_DEPS += rx.v M_DEPS += rx_cgs.v M_DEPS += rx_ctrl.v M_DEPS += rx_lane.v +M_DEPS += set_propertyPROCESSING_ORDERLATEipx::get_filesjesd204_rx_constr.xdc M_DEPS += ../../jesd204/jesd204_common/jesd204_common.xpr diff --git a/library/jesd204/jesd204_tx/Makefile b/library/jesd204/jesd204_tx/Makefile index c134d47f9..ddbb91cb0 100644 --- a/library/jesd204/jesd204_tx/Makefile +++ b/library/jesd204/jesd204_tx/Makefile @@ -9,6 +9,7 @@ M_DEPS += ../../scripts/adi_env.tcl M_DEPS += ../../scripts/adi_ip.tcl M_DEPS += jesd204_tx_constr.xdc M_DEPS += jesd204_tx_ip.tcl +M_DEPS += set_propertyPROCESSING_ORDERLATEipx::get_filesjesd204_tx_constr.xdc M_DEPS += tx.v M_DEPS += tx_ctrl.v M_DEPS += tx_lane.v diff --git a/library/xilinx/axi_dacfifo/Makefile b/library/xilinx/axi_dacfifo/Makefile index 49c732dcc..1612d52f6 100644 --- a/library/xilinx/axi_dacfifo/Makefile +++ b/library/xilinx/axi_dacfifo/Makefile @@ -6,6 +6,8 @@ #################################################################################### M_DEPS += ../../common/ad_axis_inf_rx.v +M_DEPS += ../../common/ad_b2g.v +M_DEPS += ../../common/ad_g2b.v M_DEPS += ../../common/ad_mem_asym.v M_DEPS += ../../common/util_dacfifo_bypass.v M_DEPS += ../../scripts/adi_env.tcl diff --git a/projects/arradio/c5soc/Makefile b/projects/arradio/c5soc/Makefile index b3402f023..c29417b84 100644 --- a/projects/arradio/c5soc/Makefile +++ b/projects/arradio/c5soc/Makefile @@ -30,6 +30,7 @@ M_DEPS += ../../../library/altera/common/up_xfer_status_constr.sdc M_DEPS += ../../../library/axi_ad9361/altera/axi_ad9361_cmos_if.v M_DEPS += ../../../library/axi_ad9361/altera/axi_ad9361_cmos_out.v M_DEPS += ../../../library/axi_ad9361/altera/axi_ad9361_lvds_if.v +M_DEPS += ../../../library/axi_ad9361/altera/axi_ad9361_lvds_if_c5.v M_DEPS += ../../../library/axi_ad9361/altera/axi_ad9361_serdes_clk.v M_DEPS += ../../../library/axi_ad9361/altera/axi_ad9361_serdes_in.v M_DEPS += ../../../library/axi_ad9361/altera/axi_ad9361_serdes_out.v