diff --git a/library/axi_hdmi_tx/axi_hdmi_tx_constr.xdc b/library/axi_hdmi_tx/axi_hdmi_tx_constr.xdc index dfcef7db2..1a29dd5b4 100644 --- a/library/axi_hdmi_tx/axi_hdmi_tx_constr.xdc +++ b/library/axi_hdmi_tx/axi_hdmi_tx_constr.xdc @@ -1,6 +1,3 @@ - -set_clock_groups -asynchronous -group [get_clocks -of_objects [get_ports hdmi_clk]] -set_clock_groups -asynchronous -group [get_clocks -of_objects [get_ports s_axi_aclk]] -set_clock_groups -asynchronous -group [get_clocks -of_objects [get_ports m_axis_mm2s_clk]] - - +set_clock_groups -asynchronous \ + -group [get_clocks -of_objects [get_ports hdmi_clk]] \ + -group [get_clocks -of_objects [get_ports s_axi_aclk]]