From 98b58562d6f834da1d7c071c1dd15e695d6eabd1 Mon Sep 17 00:00:00 2001 From: Adrian Costina Date: Fri, 16 Feb 2018 14:02:41 +0000 Subject: [PATCH] system_top: Non functional changes in system_tops to reduce warnings Loop back the unused GPIO pins, and add all the SPI interface to system wrapper instance. The following system_top modules were changed: - ad738x_fmc - ad7616_sdz - ad77681evb - ad77681evb - ad7768evb - ad9739a_fmc - ad9434 - adrv9739 - fmcadc5 - ad6676evb - ad9265 - ad5766 - fmcomms5 - m2k --- projects/ad5766_sdz/zed/system_top.v | 2 ++ projects/ad6676evb/vc707/system_top.v | 5 +++-- projects/ad6676evb/zc706/system_top.v | 3 +++ projects/ad738x_fmc/zed/system_top.v | 2 +- projects/ad7616_sdz/zed/system_top_pi.v | 4 ++++ projects/ad7616_sdz/zed/system_top_si.v | 2 ++ projects/ad77681evb/zed/system_top.v | 6 ++++++ projects/ad7768evb/zed/system_top.v | 5 +++++ projects/ad9265_fmc/zc706/system_top.v | 2 ++ projects/ad9434_fmc/zc706/system_top.v | 20 +++++++++++++++++++- projects/ad9739a_fmc/zc706/system_top.v | 2 ++ projects/adrv9379/zc706/system_top.v | 4 +++- projects/fmcadc5/vc707/system_top.v | 6 +++++- projects/fmcomms5/zc702/system_top.v | 1 + projects/fmcomms5/zc706/system_top.v | 1 + projects/fmcomms5/zcu102/system_top.v | 14 ++++++++------ projects/m2k/standalone/system_top.v | 1 + 17 files changed, 68 insertions(+), 12 deletions(-) diff --git a/projects/ad5766_sdz/zed/system_top.v b/projects/ad5766_sdz/zed/system_top.v index e2d7cddf7..716349cd1 100644 --- a/projects/ad5766_sdz/zed/system_top.v +++ b/projects/ad5766_sdz/zed/system_top.v @@ -121,6 +121,8 @@ module system_top ( .dio_o(gpio_i[31:0]), .dio_p(gpio_bd)); + assign gpio_i[63:33] = gpio_o[63:33]; + ad_iobuf #( .DATA_WIDTH(2) ) i_iic_mux_scl ( diff --git a/projects/ad6676evb/vc707/system_top.v b/projects/ad6676evb/vc707/system_top.v index 9f2643976..4779decfe 100644 --- a/projects/ad6676evb/vc707/system_top.v +++ b/projects/ad6676evb/vc707/system_top.v @@ -118,8 +118,6 @@ module system_top ( wire [63:0] gpio_o; wire [63:0] gpio_t; wire [ 6:0] spi_csn_open; - wire spi_mosi; - wire spi_miso; wire rx_ref_clk; wire rx_sysref; wire rx_sync; @@ -130,6 +128,9 @@ module system_top ( assign fan_pwm = 1'b1; assign iic_rstn = 1'b1; + assign gpio_i[63:42]= gpio_o[63:42]; + assign gpio_i[31:21]= gpio_o[31:21]; + // instantiations IBUFDS_GTE2 i_ibufds_rx_ref_clk ( diff --git a/projects/ad6676evb/zc706/system_top.v b/projects/ad6676evb/zc706/system_top.v index e63710227..ca875c3db 100644 --- a/projects/ad6676evb/zc706/system_top.v +++ b/projects/ad6676evb/zc706/system_top.v @@ -116,6 +116,9 @@ module system_top ( wire rx_sysref; wire rx_clk; + assign gpio_i[63:42]= gpio_o[63:42]; + assign gpio_i[31:15]= gpio_o[31:15]; + // instantiations IBUFDS_GTE2 i_ibufds_rx_ref_clk ( diff --git a/projects/ad738x_fmc/zed/system_top.v b/projects/ad738x_fmc/zed/system_top.v index d62668d80..15ec5217c 100644 --- a/projects/ad738x_fmc/zed/system_top.v +++ b/projects/ad738x_fmc/zed/system_top.v @@ -104,7 +104,7 @@ module system_top ( // instantiations - assign gpio_i[63:32] = 32'b0; + assign gpio_i[63:32] = gpio_o[63:32]; ad_iobuf #( .DATA_WIDTH(32) ) i_iobuf ( diff --git a/projects/ad7616_sdz/zed/system_top_pi.v b/projects/ad7616_sdz/zed/system_top_pi.v index 090e1c23a..40e01515b 100644 --- a/projects/ad7616_sdz/zed/system_top_pi.v +++ b/projects/ad7616_sdz/zed/system_top_pi.v @@ -124,6 +124,10 @@ module system_top ( adc_seq_en, // 37 adc_chsel})); // 35:33 + assign gpio_i[63:44] = gpio_o[63:44]; + assign gpio_i[40:38] = gpio_o[40:38]; + assign gpio_i[36] = gpio_o[36]; + generate for (i = 0; i < 16; i = i + 1) begin: adc_db_io ad_iobuf i_iobuf_adc_db ( diff --git a/projects/ad7616_sdz/zed/system_top_si.v b/projects/ad7616_sdz/zed/system_top_si.v index c35c0300c..ad587a099 100644 --- a/projects/ad7616_sdz/zed/system_top_si.v +++ b/projects/ad7616_sdz/zed/system_top_si.v @@ -133,6 +133,8 @@ module system_top ( .dio_o(gpio_i[31:0]), .dio_p(gpio_bd)); + assign gpio_i[63:44] = gpio_o[63:44]; + ad_iobuf #( .DATA_WIDTH(2) ) i_iobuf_iic_mux_scl ( diff --git a/projects/ad77681evb/zed/system_top.v b/projects/ad77681evb/zed/system_top.v index 7f47f1185..eda5bf572 100644 --- a/projects/ad77681evb/zed/system_top.v +++ b/projects/ad77681evb/zed/system_top.v @@ -164,6 +164,9 @@ module system_top ( ad7768_0_sync_out, ad7768_0_reset})); + assign gpio_i[47:39] = gpio_o[47:39]; + assign gpio_i[63:55] = gpio_o[63:55]; + ad_iobuf #( .DATA_WIDTH(32) ) i_iobuf ( @@ -172,6 +175,9 @@ module system_top ( .dio_o(gpio_i[31:0]), .dio_p(gpio_bd)); + assign gpio_i[47:39] = gpio_o[47:39]; + assign gpio_i[63:55] = gpio_o[63:55]; + ad_iobuf #( .DATA_WIDTH(2) ) i_iic_mux_scl ( diff --git a/projects/ad7768evb/zed/system_top.v b/projects/ad7768evb/zed/system_top.v index 243b4920b..57542192b 100644 --- a/projects/ad7768evb/zed/system_top.v +++ b/projects/ad7768evb/zed/system_top.v @@ -155,6 +155,11 @@ module system_top ( .dio_o (gpio_i[31:0]), .dio_p (gpio_bd)); + assign gpio_i[36:32] = 5'b0; + assign gpio_i[39:37] = gpio_o[39:37]; + assign gpio_i[47:44] = gpio_o[47:44]; + assign gpio_i[63:53] = gpio_o[63:53]; + ad_iobuf #(.DATA_WIDTH(2)) i_iic_mux_scl ( .dio_t ({iic_mux_scl_t_s, iic_mux_scl_t_s}), .dio_i (iic_mux_scl_o_s), diff --git a/projects/ad9265_fmc/zc706/system_top.v b/projects/ad9265_fmc/zc706/system_top.v index 5224ceffb..da7461c0e 100644 --- a/projects/ad9265_fmc/zc706/system_top.v +++ b/projects/ad9265_fmc/zc706/system_top.v @@ -113,6 +113,8 @@ ad_iobuf #(.DATA_WIDTH(15)) iobuf_gpio_bd ( .dio_t (gpio_t[14:0]), .dio_p (gpio_bd)); +assign gpio_i[63:15] = gpio_o[63:15]; + ad9265_spi i_spi ( .spi_csn(spi0_csn[1:0]), .spi_clk(spi_clk), diff --git a/projects/ad9434_fmc/zc706/system_top.v b/projects/ad9434_fmc/zc706/system_top.v index 3b87e715c..296116c2d 100644 --- a/projects/ad9434_fmc/zc706/system_top.v +++ b/projects/ad9434_fmc/zc706/system_top.v @@ -92,6 +92,14 @@ module system_top ( wire [63:0] gpio_t; wire spi_miso; wire spi_mosi; + wire [ 2:0] spi1_csn; + wire spi1_clk; + wire spi1_mosi; + wire spi1_miso; + + // assignments + + assign gpio_i[63:15] = gpio_o[63:15]; // instantiations @@ -169,7 +177,17 @@ module system_top ( .spi0_csn_i (1'b1), .spi0_sdi_i (spi_miso), .spi0_sdo_i (1'b0), - .spi0_sdo_o (spi_mosi)); + .spi0_sdo_o (spi_mosi), + .spi1_clk_i (spi1_clk), + .spi1_clk_o (spi1_clk), + .spi1_csn_0_o (spi1_csn[0]), + .spi1_csn_1_o (spi1_csn[1]), + .spi1_csn_2_o (spi1_csn[2]), + .spi1_csn_i (1'b1), + .spi1_sdi_i (1'b1), + .spi1_sdo_i (spi1_mosi), + .spi1_sdo_o (spi1_mosi)); + endmodule diff --git a/projects/ad9739a_fmc/zc706/system_top.v b/projects/ad9739a_fmc/zc706/system_top.v index 46e6c2f46..1c7e871ac 100644 --- a/projects/ad9739a_fmc/zc706/system_top.v +++ b/projects/ad9739a_fmc/zc706/system_top.v @@ -118,6 +118,8 @@ module system_top ( .dio_o (gpio_i[14:0]), .dio_p (gpio_bd)); + assign gpio_i[63:15] = gpio_o[63:15]; + system_wrapper i_system_wrapper ( .ddr_addr (ddr_addr), .ddr_ba (ddr_ba), diff --git a/projects/adrv9379/zc706/system_top.v b/projects/adrv9379/zc706/system_top.v index e2913d3a2..d2810b33f 100644 --- a/projects/adrv9379/zc706/system_top.v +++ b/projects/adrv9379/zc706/system_top.v @@ -163,9 +163,11 @@ module system_top ( wire tx_sync; wire tx_sync_1; wire sysref; -// wire sysref_out; + wire sysref_out; assign sysref_out = 0; + assign gpio_i[63:60] = gpio_o[63:60]; + assign gpio_i[31:15] = gpio_o[31:15]; // instantiations diff --git a/projects/fmcadc5/vc707/system_top.v b/projects/fmcadc5/vc707/system_top.v index 923e76499..490d2dc78 100644 --- a/projects/fmcadc5/vc707/system_top.v +++ b/projects/fmcadc5/vc707/system_top.v @@ -138,7 +138,6 @@ module system_top ( wire [ 63:0] gpio_o; wire [ 63:0] gpio_t; wire [ 7:0] spi_csn; - wire spi_clk; wire spi_mosi; wire spi_miso; wire rx_ref_clk_0; @@ -163,6 +162,11 @@ module system_top ( assign psync_0 = psync; assign psync_1 = psync; + assign gpio_i[63:47]= gpio_o[63:47]; + assign gpio_i[45:45]= gpio_o[45:45]; + assign gpio_i[37:36]= gpio_o[37:36]; + assign gpio_i[33:21]= gpio_o[33:21]; + // lvds buffers IBUFDS_GTE2 i_ibufds_rx_ref_clk_0 ( diff --git a/projects/fmcomms5/zc702/system_top.v b/projects/fmcomms5/zc702/system_top.v index bb0df2d84..44d7c6001 100644 --- a/projects/fmcomms5/zc702/system_top.v +++ b/projects/fmcomms5/zc702/system_top.v @@ -221,6 +221,7 @@ module system_top ( assign spi_clk = spi0_clk; assign spi_mosi = spi0_mosi; assign spi0_miso = spi_miso; + assign gpio_i[63:60] = gpio_o[63:60]; system_wrapper i_system_wrapper ( .ddr_addr (ddr_addr), diff --git a/projects/fmcomms5/zc706/system_top.v b/projects/fmcomms5/zc706/system_top.v index 0626431d0..5bfdf9138 100644 --- a/projects/fmcomms5/zc706/system_top.v +++ b/projects/fmcomms5/zc706/system_top.v @@ -218,6 +218,7 @@ module system_top ( assign spi_clk = spi0_clk; assign spi_mosi = spi0_mosi; assign spi0_miso = spi_miso; + assign gpio_i[63:60] = gpio_o[63:60]; system_wrapper i_system_wrapper ( .ddr_addr (ddr_addr), diff --git a/projects/fmcomms5/zcu102/system_top.v b/projects/fmcomms5/zcu102/system_top.v index 75f4019e6..022dbfd89 100644 --- a/projects/fmcomms5/zcu102/system_top.v +++ b/projects/fmcomms5/zcu102/system_top.v @@ -148,7 +148,6 @@ module system_top ( .O (ref_clk)); assign gpio_resetb_1 = gpio_o[65]; - assign gpio_i[64] = gpio_ad5355_lock; assign gpio_ad5355_rfen = gpio_o[63]; assign gpio_calsw_4_1 = gpio_o[62]; assign gpio_calsw_3_1 = gpio_o[61]; @@ -169,15 +168,15 @@ module system_top ( assign gpio_debug_1_0 = gpio_o[46]; assign gpio_ctl_1 = gpio_o[45:42]; assign gpio_ctl_0 = gpio_o[41:38]; - assign gpio_i[37:30] = gpio_status_1; - assign gpio_i[29:22] = gpio_status_0; assign gpio_open_15_15 = gpio_o[21]; assign gpio_bd_o = gpio_o[20:13]; assign gpio_i[12: 0] = gpio_bd_i; - - assign gpio_i[94:65] = gpio_o[94:65]; + assign gpio_i[21:13] = gpio_o[21:13]; + assign gpio_i[29:22] = gpio_status_0; + assign gpio_i[37:30] = gpio_status_1; assign gpio_i[63:38] = gpio_o[63:38]; - assign gpio_i[21:14] = gpio_o[21:14]; + assign gpio_i[64] = gpio_ad5355_lock; + assign gpio_i[94:65] = gpio_o[94:65]; assign spi_ad9361_0 = spi0_csn[0]; assign spi_ad9361_1 = spi0_csn[1]; @@ -186,10 +185,13 @@ module system_top ( assign spi_mosi = spi0_mosi; assign spi0_miso = spi_miso; assign spi1_miso = 1'b0; + assign gpio_debug_3_1 = 1'b0; + assign gpio_debug_4_1 = 1'b0; system_wrapper i_system_wrapper ( .gpio_i (gpio_i), .gpio_o (gpio_o), + .gpio_t (), .ps_intr_00 (1'b0), .ps_intr_01 (1'b0), .ps_intr_02 (1'b0), diff --git a/projects/m2k/standalone/system_top.v b/projects/m2k/standalone/system_top.v index e99842a80..6b0918a05 100644 --- a/projects/m2k/standalone/system_top.v +++ b/projects/m2k/standalone/system_top.v @@ -194,6 +194,7 @@ module system_top ( .spi0_clk_o (spi0_clk), .spi0_csn_0_o (spi0_csn[0]), .spi0_csn_1_o (spi0_csn[1]), + .spi0_csn_2_o (), .spi0_csn_i (1'b1), .spi0_sdi_i (spi0_miso), .spi0_sdo_i (spi0_mosi),