diff --git a/projects/common/a5gt/a5gt_system_assign.tcl b/projects/common/a5gt/a5gt_system_assign.tcl index c927eb62f..0d9830885 100644 --- a/projects/common/a5gt/a5gt_system_assign.tcl +++ b/projects/common/a5gt/a5gt_system_assign.tcl @@ -751,61 +751,61 @@ set_instance_assignment -name GLOBAL_SIGNAL "GLOBAL CLOCK" -to eth_rx_clk # leds -set_location_assignment PIN_M19 -to gpio_bd[0] ; ## led_grn[0] -set_location_assignment PIN_L19 -to gpio_bd[1] ; ## led_grn[1] -set_location_assignment PIN_K19 -to gpio_bd[2] ; ## led_grn[2] -set_location_assignment PIN_J19 -to gpio_bd[3] ; ## led_grn[3] -set_location_assignment PIN_K20 -to gpio_bd[4] ; ## led_grn[4] -set_location_assignment PIN_J20 -to gpio_bd[5] ; ## led_grn[5] -set_location_assignment PIN_T20 -to gpio_bd[6] ; ## led_grn[6] -set_location_assignment PIN_R20 -to gpio_bd[7] ; ## led_grn[7] -set_location_assignment PIN_N20 -to gpio_bd[8] ; ## led_red[0] -set_location_assignment PIN_C15 -to gpio_bd[9] ; ## led_red[1] -set_location_assignment PIN_AL28 -to gpio_bd[10] ; ## led_red[2] -set_location_assignment PIN_F11 -to gpio_bd[11] ; ## led_red[3] -set_location_assignment PIN_AJ31 -to gpio_bd[12] ; ## led_red[4] -set_location_assignment PIN_AN34 -to gpio_bd[13] ; ## led_red[5] -set_location_assignment PIN_AJ34 -to gpio_bd[14] ; ## led_red[6] -set_location_assignment PIN_AK33 -to gpio_bd[15] ; ## led_red[7] -set_location_assignment PIN_C8 -to gpio_bd[16] ; ## dip_switches[0] -set_location_assignment PIN_D8 -to gpio_bd[17] ; ## dip_switches[1] -set_location_assignment PIN_E7 -to gpio_bd[18] ; ## dip_switches[2] -set_location_assignment PIN_E6 -to gpio_bd[19] ; ## dip_switches[3] -set_location_assignment PIN_G8 -to gpio_bd[20] ; ## dip_switches[4] -set_location_assignment PIN_F8 -to gpio_bd[21] ; ## dip_switches[5] -set_location_assignment PIN_D15 -to gpio_bd[22] ; ## dip_switches[6] -set_location_assignment PIN_G11 -to gpio_bd[23] ; ## dip_switches[7] -set_location_assignment PIN_D6 -to gpio_bd[24] ; ## push_buttons[0] -set_location_assignment PIN_C6 -to gpio_bd[25] ; ## push_buttons[1] -set_location_assignment PIN_K7 -to gpio_bd[26] ; ## push_buttons[2] +set_location_assignment PIN_M19 -to gpio_bd_o[0] ; ## led_grn[0] +set_location_assignment PIN_L19 -to gpio_bd_o[1] ; ## led_grn[1] +set_location_assignment PIN_K19 -to gpio_bd_o[2] ; ## led_grn[2] +set_location_assignment PIN_J19 -to gpio_bd_o[3] ; ## led_grn[3] +set_location_assignment PIN_K20 -to gpio_bd_o[4] ; ## led_grn[4] +set_location_assignment PIN_J20 -to gpio_bd_o[5] ; ## led_grn[5] +set_location_assignment PIN_T20 -to gpio_bd_o[6] ; ## led_grn[6] +set_location_assignment PIN_R20 -to gpio_bd_o[7] ; ## led_grn[7] +set_location_assignment PIN_N20 -to gpio_bd_o[8] ; ## led_red[0] +set_location_assignment PIN_C15 -to gpio_bd_o[9] ; ## led_red[1] +set_location_assignment PIN_AL28 -to gpio_bd_o[10] ; ## led_red[2] +set_location_assignment PIN_F11 -to gpio_bd_o[11] ; ## led_red[3] +set_location_assignment PIN_AJ31 -to gpio_bd_o[12] ; ## led_red[4] +set_location_assignment PIN_AN34 -to gpio_bd_o[13] ; ## led_red[5] +set_location_assignment PIN_AJ34 -to gpio_bd_o[14] ; ## led_red[6] +set_location_assignment PIN_AK33 -to gpio_bd_o[15] ; ## led_red[7] +set_location_assignment PIN_C8 -to gpio_bd_i[0] ; ## dip_switches[0] +set_location_assignment PIN_D8 -to gpio_bd_i[1] ; ## dip_switches[1] +set_location_assignment PIN_E7 -to gpio_bd_i[2] ; ## dip_switches[2] +set_location_assignment PIN_E6 -to gpio_bd_i[3] ; ## dip_switches[3] +set_location_assignment PIN_G8 -to gpio_bd_i[4] ; ## dip_switches[4] +set_location_assignment PIN_F8 -to gpio_bd_i[5] ; ## dip_switches[5] +set_location_assignment PIN_D15 -to gpio_bd_i[6] ; ## dip_switches[6] +set_location_assignment PIN_G11 -to gpio_bd_i[7] ; ## dip_switches[7] +set_location_assignment PIN_D6 -to gpio_bd_i[8] ; ## push_buttons[0] +set_location_assignment PIN_C6 -to gpio_bd_i[9] ; ## push_buttons[1] +set_location_assignment PIN_K7 -to gpio_bd_i[10] ; ## push_buttons[2] -set_instance_assignment -name IO_STANDARD "2.5 V" -to gpio_bd[0] -set_instance_assignment -name IO_STANDARD "2.5 V" -to gpio_bd[1] -set_instance_assignment -name IO_STANDARD "2.5 V" -to gpio_bd[2] -set_instance_assignment -name IO_STANDARD "2.5 V" -to gpio_bd[3] -set_instance_assignment -name IO_STANDARD "2.5 V" -to gpio_bd[4] -set_instance_assignment -name IO_STANDARD "2.5 V" -to gpio_bd[5] -set_instance_assignment -name IO_STANDARD "2.5 V" -to gpio_bd[6] -set_instance_assignment -name IO_STANDARD "2.5 V" -to gpio_bd[7] -set_instance_assignment -name IO_STANDARD "2.5 V" -to gpio_bd[8] -set_instance_assignment -name IO_STANDARD "2.5 V" -to gpio_bd[9] -set_instance_assignment -name IO_STANDARD "2.5 V" -to gpio_bd[10] -set_instance_assignment -name IO_STANDARD "2.5 V" -to gpio_bd[11] -set_instance_assignment -name IO_STANDARD "2.5 V" -to gpio_bd[12] -set_instance_assignment -name IO_STANDARD "2.5 V" -to gpio_bd[13] -set_instance_assignment -name IO_STANDARD "2.5 V" -to gpio_bd[14] -set_instance_assignment -name IO_STANDARD "2.5 V" -to gpio_bd[15] -set_instance_assignment -name IO_STANDARD "2.5 V" -to gpio_bd[16] -set_instance_assignment -name IO_STANDARD "2.5 V" -to gpio_bd[17] -set_instance_assignment -name IO_STANDARD "2.5 V" -to gpio_bd[18] -set_instance_assignment -name IO_STANDARD "2.5 V" -to gpio_bd[19] -set_instance_assignment -name IO_STANDARD "2.5 V" -to gpio_bd[20] -set_instance_assignment -name IO_STANDARD "2.5 V" -to gpio_bd[21] -set_instance_assignment -name IO_STANDARD "2.5 V" -to gpio_bd[22] -set_instance_assignment -name IO_STANDARD "2.5 V" -to gpio_bd[23] -set_instance_assignment -name IO_STANDARD "2.5 V" -to gpio_bd[24] -set_instance_assignment -name IO_STANDARD "2.5 V" -to gpio_bd[25] -set_instance_assignment -name IO_STANDARD "2.5 V" -to gpio_bd[26] +set_instance_assignment -name IO_STANDARD "2.5 V" -to gpio_bd_o[0] +set_instance_assignment -name IO_STANDARD "2.5 V" -to gpio_bd_o[1] +set_instance_assignment -name IO_STANDARD "2.5 V" -to gpio_bd_o[2] +set_instance_assignment -name IO_STANDARD "2.5 V" -to gpio_bd_o[3] +set_instance_assignment -name IO_STANDARD "2.5 V" -to gpio_bd_o[4] +set_instance_assignment -name IO_STANDARD "2.5 V" -to gpio_bd_o[5] +set_instance_assignment -name IO_STANDARD "2.5 V" -to gpio_bd_o[6] +set_instance_assignment -name IO_STANDARD "2.5 V" -to gpio_bd_o[7] +set_instance_assignment -name IO_STANDARD "2.5 V" -to gpio_bd_o[8] +set_instance_assignment -name IO_STANDARD "2.5 V" -to gpio_bd_o[9] +set_instance_assignment -name IO_STANDARD "2.5 V" -to gpio_bd_o[10] +set_instance_assignment -name IO_STANDARD "2.5 V" -to gpio_bd_o[11] +set_instance_assignment -name IO_STANDARD "2.5 V" -to gpio_bd_o[12] +set_instance_assignment -name IO_STANDARD "2.5 V" -to gpio_bd_o[13] +set_instance_assignment -name IO_STANDARD "2.5 V" -to gpio_bd_o[14] +set_instance_assignment -name IO_STANDARD "2.5 V" -to gpio_bd_o[15] +set_instance_assignment -name IO_STANDARD "2.5 V" -to gpio_bd_i[0] +set_instance_assignment -name IO_STANDARD "2.5 V" -to gpio_bd_i[1] +set_instance_assignment -name IO_STANDARD "2.5 V" -to gpio_bd_i[2] +set_instance_assignment -name IO_STANDARD "2.5 V" -to gpio_bd_i[3] +set_instance_assignment -name IO_STANDARD "2.5 V" -to gpio_bd_i[4] +set_instance_assignment -name IO_STANDARD "2.5 V" -to gpio_bd_i[5] +set_instance_assignment -name IO_STANDARD "2.5 V" -to gpio_bd_i[6] +set_instance_assignment -name IO_STANDARD "2.5 V" -to gpio_bd_i[7] +set_instance_assignment -name IO_STANDARD "2.5 V" -to gpio_bd_i[8] +set_instance_assignment -name IO_STANDARD "2.5 V" -to gpio_bd_i[9] +set_instance_assignment -name IO_STANDARD "2.5 V" -to gpio_bd_i[10] # source defaults diff --git a/projects/common/a5gt/a5gt_system_qsys.tcl b/projects/common/a5gt/a5gt_system_qsys.tcl index 5431f19d8..f79d4d802 100644 --- a/projects/common/a5gt/a5gt_system_qsys.tcl +++ b/projects/common/a5gt/a5gt_system_qsys.tcl @@ -18,6 +18,26 @@ set_instance_parameter_value sys_clk {clockFrequency} {100000000.0} set_instance_parameter_value sys_clk {clockFrequencyKnown} {1} set_instance_parameter_value sys_clk {resetSynchronousEdges} {DEASSERT} +# system-pll + +add_instance sys_pll altera_pll 16.0 +set_instance_parameter_value sys_pll {gui_reference_clock_frequency} {100.0} +set_instance_parameter_value sys_pll {gui_use_locked} {1} +set_instance_parameter_value sys_pll {gui_number_of_clocks} {3} +set_instance_parameter_value sys_pll {gui_output_clock_frequency0} {125.0} +set_instance_parameter_value sys_pll {gui_output_clock_frequency1} {25.0} +set_instance_parameter_value sys_pll {gui_output_clock_frequency2} {2.5} +add_connection sys_clk.clk sys_pll.refclk +add_connection sys_clk.clk_reset sys_pll.reset +add_interface sys_125m_clk clock source +add_interface sys_25m_clk clock source +add_interface sys_2m5_clk clock source +add_interface sys_pll_locked conduit end +set_interface_property sys_125m_clk EXPORT_OF sys_pll.outclk0 +set_interface_property sys_25m_clk EXPORT_OF sys_pll.outclk1 +set_interface_property sys_2m5_clk EXPORT_OF sys_pll.outclk2 +set_interface_property sys_pll_locked EXPORT_OF sys_pll.locked + # memory (int) add_instance sys_int_mem altera_avalon_onchip_memory2 16.0