From 95877fc5ceb97f004d0d441f6f56c3bb9c5daa7a Mon Sep 17 00:00:00 2001 From: Istvan Csomortani Date: Mon, 26 Jun 2017 15:43:10 +0100 Subject: [PATCH] util_ccat: Remove deprecated IP --- library/util_ccat/Makefile | 47 ---------------- library/util_ccat/util_ccat.v | 77 -------------------------- library/util_ccat/util_ccat_constr.xdc | 0 library/util_ccat/util_ccat_ip.tcl | 43 -------------- 4 files changed, 167 deletions(-) delete mode 100644 library/util_ccat/Makefile delete mode 100755 library/util_ccat/util_ccat.v delete mode 100644 library/util_ccat/util_ccat_constr.xdc delete mode 100644 library/util_ccat/util_ccat_ip.tcl diff --git a/library/util_ccat/Makefile b/library/util_ccat/Makefile deleted file mode 100644 index 00939b669..000000000 --- a/library/util_ccat/Makefile +++ /dev/null @@ -1,47 +0,0 @@ -#################################################################################### -#################################################################################### -## Copyright 2011(c) Analog Devices, Inc. -## Auto-generated, do not modify! -#################################################################################### -#################################################################################### - -M_DEPS += ../scripts/adi_env.tcl -M_DEPS += ../scripts/adi_ip.tcl -M_DEPS += util_ccat.v -M_DEPS += util_ccat_constr.xdc -M_DEPS += util_ccat_ip.tcl - -M_VIVADO := vivado -mode batch -source - -M_FLIST := *.cache -M_FLIST += *.data -M_FLIST += *.xpr -M_FLIST += *.log -M_FLIST += component.xml -M_FLIST += *.jou -M_FLIST += xgui -M_FLIST += *.ip_user_files -M_FLIST += *.srcs -M_FLIST += *.hw -M_FLIST += *.sim -M_FLIST += .Xil - - - -.PHONY: all clean clean-all -all: util_ccat.xpr - - -clean:clean-all - - -clean-all: - rm -rf $(M_FLIST) - - -util_ccat.xpr: $(M_DEPS) - -rm -rf $(M_FLIST) - $(M_VIVADO) util_ccat_ip.tcl >> util_ccat_ip.log 2>&1 - -#################################################################################### -#################################################################################### diff --git a/library/util_ccat/util_ccat.v b/library/util_ccat/util_ccat.v deleted file mode 100755 index 6eacb6be8..000000000 --- a/library/util_ccat/util_ccat.v +++ /dev/null @@ -1,77 +0,0 @@ -// *************************************************************************** -// *************************************************************************** -// Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved. -// -// In this HDL repository, there are many different and unique modules, consisting -// of various HDL (Verilog or VHDL) components. The individual modules are -// developed independently, and may be accompanied by separate and unique license -// terms. -// -// The user should read each of these license terms, and understand the -// freedoms and responsabilities that he or she has by using this source/core. -// -// This core is distributed in the hope that it will be useful, but WITHOUT ANY -// WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR -// A PARTICULAR PURPOSE. -// -// Redistribution and use of source or resulting binaries, with or without modification -// of this file, are permitted under one of the following two license terms: -// -// 1. The GNU General Public License version 2 as published by the -// Free Software Foundation, which can be found in the top level directory -// of this repository (LICENSE_GPL2), and also online at: -// -// -// OR -// -// 2. An ADI specific BSD license, which can be found in the top level directory -// of this repository (LICENSE_ADIBSD), and also on-line at: -// https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD -// This will allow to generate bit files and not release the source code, -// as long as it attaches to an ADI device. -// -// *************************************************************************** -// *************************************************************************** -// too bad- we have to do this! - -`timescale 1ns/100ps - -module util_ccat #( - - parameter CHANNEL_DATA_WIDTH = 1, - parameter NUM_OF_CHANNELS = 8) ( - - input [(CHANNEL_DATA_WIDTH-1):0] data_0, - input [(CHANNEL_DATA_WIDTH-1):0] data_1, - input [(CHANNEL_DATA_WIDTH-1):0] data_2, - input [(CHANNEL_DATA_WIDTH-1):0] data_3, - input [(CHANNEL_DATA_WIDTH-1):0] data_4, - input [(CHANNEL_DATA_WIDTH-1):0] data_5, - input [(CHANNEL_DATA_WIDTH-1):0] data_6, - input [(CHANNEL_DATA_WIDTH-1):0] data_7, - - output [((NUM_OF_CHANNELS*CHANNEL_DATA_WIDTH)-1):0] ccat_data); - - localparam NUM_OF_CHANNELS_M = 8; - - // internal signals - - wire [((NUM_OF_CHANNELS_M*CHANNEL_DATA_WIDTH)-1):0] data_s; - - // concatenate - - assign data_s[((CHANNEL_DATA_WIDTH*1)-1):(CHANNEL_DATA_WIDTH*0)] = data_0; - assign data_s[((CHANNEL_DATA_WIDTH*2)-1):(CHANNEL_DATA_WIDTH*1)] = data_1; - assign data_s[((CHANNEL_DATA_WIDTH*3)-1):(CHANNEL_DATA_WIDTH*2)] = data_2; - assign data_s[((CHANNEL_DATA_WIDTH*4)-1):(CHANNEL_DATA_WIDTH*3)] = data_3; - assign data_s[((CHANNEL_DATA_WIDTH*5)-1):(CHANNEL_DATA_WIDTH*4)] = data_4; - assign data_s[((CHANNEL_DATA_WIDTH*6)-1):(CHANNEL_DATA_WIDTH*5)] = data_5; - assign data_s[((CHANNEL_DATA_WIDTH*7)-1):(CHANNEL_DATA_WIDTH*6)] = data_6; - assign data_s[((CHANNEL_DATA_WIDTH*8)-1):(CHANNEL_DATA_WIDTH*7)] = data_7; - - assign ccat_data = data_s[((NUM_OF_CHANNELS*CHANNEL_DATA_WIDTH)-1):0]; - -endmodule - -// *************************************************************************** -// *************************************************************************** diff --git a/library/util_ccat/util_ccat_constr.xdc b/library/util_ccat/util_ccat_constr.xdc deleted file mode 100644 index e69de29bb..000000000 diff --git a/library/util_ccat/util_ccat_ip.tcl b/library/util_ccat/util_ccat_ip.tcl deleted file mode 100644 index c036e28e5..000000000 --- a/library/util_ccat/util_ccat_ip.tcl +++ /dev/null @@ -1,43 +0,0 @@ -# ip - -source ../scripts/adi_env.tcl -source $ad_hdl_dir/library/scripts/adi_ip.tcl - -adi_ip_create util_ccat -adi_ip_files util_ccat [list \ - "util_ccat.v" \ - "util_ccat_constr.xdc" ] - -adi_ip_properties_lite util_ccat - -set_property -dict {driver_value {0} enablement_dependency {spirit:decode(id('MODELPARAM_VALUE.NUM_OF_CHANNELS')) > 1}} \ - [ipx::get_ports *_1* -of_objects [ipx::current_core]] \ - - -set_property -dict {driver_value {0} enablement_dependency {spirit:decode(id('MODELPARAM_VALUE.NUM_OF_CHANNELS')) > 2}} \ - [ipx::get_ports *_2* -of_objects [ipx::current_core]] \ - - -set_property -dict {driver_value {0} enablement_dependency {spirit:decode(id('MODELPARAM_VALUE.NUM_OF_CHANNELS')) > 3}} \ - [ipx::get_ports *_3* -of_objects [ipx::current_core]] \ - - -set_property -dict {driver_value {0} enablement_dependency {spirit:decode(id('MODELPARAM_VALUE.NUM_OF_CHANNELS')) > 4}} \ - [ipx::get_ports *_4* -of_objects [ipx::current_core]] \ - - -set_property -dict {driver_value {0} enablement_dependency {spirit:decode(id('MODELPARAM_VALUE.NUM_OF_CHANNELS')) > 5}} \ - [ipx::get_ports *_5* -of_objects [ipx::current_core]] \ - - -set_property -dict {driver_value {0} enablement_dependency {spirit:decode(id('MODELPARAM_VALUE.NUM_OF_CHANNELS')) > 6}} \ - [ipx::get_ports *_6* -of_objects [ipx::current_core]] \ - - -set_property -dict {driver_value {0} enablement_dependency {spirit:decode(id('MODELPARAM_VALUE.NUM_OF_CHANNELS')) > 7}} \ - [ipx::get_ports *_7* -of_objects [ipx::current_core]] \ - - -ipx::save_core [ipx::current_core] - -