From 949abcdc8f031f651ae760fd908cfeeeee7462e2 Mon Sep 17 00:00:00 2001 From: Adrian Costina Date: Wed, 6 May 2015 14:58:29 +0300 Subject: [PATCH] Makefile: Updated makefiles so that the project recipe does not depend on lib --- library/axi_dmac/Makefile | 9 ++++++-- library/util_pmod_adc/Makefile | 1 + projects/ad6676evb/vc707/Makefile | 2 +- projects/ad6676evb/zc706/Makefile | 2 +- projects/ad9265_fmc/zc706/Makefile | 2 +- projects/ad9434_fmc/zc706/Makefile | 2 +- projects/ad9467_fmc/kc705/Makefile | 2 +- projects/ad9467_fmc/zed/Makefile | 2 +- projects/ad9739a_fmc/zc706/Makefile | 2 +- projects/adv7511/ac701/Makefile | 2 +- projects/adv7511/kc705/Makefile | 2 +- projects/adv7511/kcu105/Makefile | 2 +- projects/adv7511/mitx045/Makefile | 3 ++- projects/adv7511/vc707/Makefile | 2 +- projects/adv7511/zc702/Makefile | 2 +- projects/adv7511/zc706/Makefile | 2 +- projects/adv7511/zed/Makefile | 2 +- projects/cftl_cip/zed/Makefile | 2 +- projects/cftl_std/zed/Makefile | 2 +- projects/daq1/zc706/Makefile | 2 +- projects/daq2/kc705/Makefile | 2 +- projects/daq2/kcu105/Makefile | 2 +- projects/daq2/vc707/Makefile | 2 +- projects/daq2/zc706/Makefile | 2 +- projects/daq3/zc706/Makefile | 2 +- projects/fmcadc2/vc707/Makefile | 2 +- projects/fmcadc2/zc706/Makefile | 2 +- projects/fmcadc4/zc706/Makefile | 2 +- projects/fmcadc5/vc707/Makefile | 2 +- projects/fmcjesdadc1/kc705/Makefile | 2 +- projects/fmcjesdadc1/vc707/Makefile | 2 +- projects/fmcjesdadc1/zc706/Makefile | 2 +- projects/fmcomms1/ac701/Makefile | 2 +- projects/fmcomms1/kc705/Makefile | 2 +- projects/fmcomms1/vc707/Makefile | 2 +- projects/fmcomms1/zc702/Makefile | 2 +- projects/fmcomms1/zc706/Makefile | 2 +- projects/fmcomms1/zed/Makefile | 2 +- projects/fmcomms2/Makefile | 3 +++ projects/fmcomms2/ac701/Makefile | 2 +- projects/fmcomms2/kc705/Makefile | 2 +- projects/fmcomms2/mitx045/Makefile | 3 ++- projects/fmcomms2/rfsom/Makefile | 2 +- projects/fmcomms2/vc707/Makefile | 2 +- projects/fmcomms2/zc702/Makefile | 2 +- projects/fmcomms2/zc706/Makefile | 3 ++- projects/fmcomms2/zc706pr/Makefile | 32 +++++++++++++------------- projects/fmcomms2/zed/Makefile | 2 +- projects/fmcomms2_pr/mitx045/Makefile | 3 ++- projects/fmcomms2_pr/zc706/Makefile | 2 +- projects/fmcomms5/zc702/Makefile | 2 +- projects/fmcomms5/zc706/Makefile | 2 +- projects/fmcomms6/zc706/Makefile | 2 +- projects/fmcomms7/zc706/Makefile | 2 +- projects/imageon/zc706/Makefile | 2 +- projects/imageon/zed/Makefile | 2 +- projects/imageon_loopback/zed/Makefile | 2 +- projects/motcon1_fmc/zed/Makefile | 2 +- projects/motcon2_fmc/zed/Makefile | 2 +- projects/usdrx1/zc706/Makefile | 2 +- 60 files changed, 87 insertions(+), 74 deletions(-) diff --git a/library/axi_dmac/Makefile b/library/axi_dmac/Makefile index e9fe78719..211223fdb 100644 --- a/library/axi_dmac/Makefile +++ b/library/axi_dmac/Makefile @@ -27,6 +27,8 @@ M_DEPS += splitter.v M_DEPS += response_generator.v M_DEPS += axi_dmac.v M_DEPS += axi_dmac_constr.xdc +M_DEPS += ../util_axis_resize/util_axis_resize.xpr +M_DEPS += ../util_axis_fifo/util_axis_fifo.xpr M_VIVADO := vivado -mode batch -source @@ -41,8 +43,8 @@ M_FLIST += .Xil -.PHONY: all clean clean-all -all: axi_dmac.xpr +.PHONY: all dep clean clean-all +all: dep axi_dmac.xpr clean:clean-all @@ -56,5 +58,8 @@ axi_dmac.xpr: $(M_DEPS) rm -rf $(M_FLIST) $(M_VIVADO) axi_dmac_ip.tcl >> axi_dmac_ip.log 2>&1 +dep: + make -C ../util_axis_resize + make -C ../util_axis_fifo #################################################################################### #################################################################################### diff --git a/library/util_pmod_adc/Makefile b/library/util_pmod_adc/Makefile index c9aa2678e..3b8a76f79 100644 --- a/library/util_pmod_adc/Makefile +++ b/library/util_pmod_adc/Makefile @@ -8,6 +8,7 @@ M_DEPS := util_pmod_adc_ip.tcl M_DEPS += ../scripts/adi_env.tcl M_DEPS += ../scripts/adi_ip.tcl +M_DEPS += util_pmod_adc_constr.xdc M_DEPS += util_pmod_adc.v M_DEPS += diff --git a/projects/ad6676evb/vc707/Makefile b/projects/ad6676evb/vc707/Makefile index 2abf938ac..b0c0c6cd3 100644 --- a/projects/ad6676evb/vc707/Makefile +++ b/projects/ad6676evb/vc707/Makefile @@ -47,7 +47,7 @@ clean-all:clean make -C ../../../library/axi_ad6676 clean -ad6676evb_vc707.xpr: lib $(M_DEPS) +ad6676evb_vc707.xpr: $(M_DEPS) rm -rf $(M_FLIST) $(M_VIVADO) system_project.tcl >> ad6676evb_vc707_vivado.log 2>&1 diff --git a/projects/ad6676evb/zc706/Makefile b/projects/ad6676evb/zc706/Makefile index 4395594b6..90225edc2 100644 --- a/projects/ad6676evb/zc706/Makefile +++ b/projects/ad6676evb/zc706/Makefile @@ -53,7 +53,7 @@ clean-all:clean make -C ../../../library/axi_hdmi_tx clean -ad6676evb_zc706.xpr: lib $(M_DEPS) +ad6676evb_zc706.xpr: $(M_DEPS) rm -rf $(M_FLIST) $(M_VIVADO) system_project.tcl >> ad6676evb_zc706_vivado.log 2>&1 diff --git a/projects/ad9265_fmc/zc706/Makefile b/projects/ad9265_fmc/zc706/Makefile index 42700830e..c127ee898 100644 --- a/projects/ad9265_fmc/zc706/Makefile +++ b/projects/ad9265_fmc/zc706/Makefile @@ -52,7 +52,7 @@ clean-all:clean make -C ../../../library/axi_hdmi_tx clean -ad9265_fmc_zc706.xpr: lib $(M_DEPS) +ad9265_fmc_zc706.xpr: $(M_DEPS) rm -rf $(M_FLIST) $(M_VIVADO) system_project.tcl >> ad9265_fmc_zc706_vivado.log 2>&1 diff --git a/projects/ad9434_fmc/zc706/Makefile b/projects/ad9434_fmc/zc706/Makefile index e931e7646..fbaf88cb6 100644 --- a/projects/ad9434_fmc/zc706/Makefile +++ b/projects/ad9434_fmc/zc706/Makefile @@ -49,7 +49,7 @@ clean-all:clean make -C ../../../library/axi_hdmi_tx clean -ad9434_fmc_zc706.xpr: lib $(M_DEPS) +ad9434_fmc_zc706.xpr: $(M_DEPS) rm -rf $(M_FLIST) $(M_VIVADO) system_project.tcl >> ad9434_fmc_zc706_vivado.log 2>&1 diff --git a/projects/ad9467_fmc/kc705/Makefile b/projects/ad9467_fmc/kc705/Makefile index c3c4c0a2a..2a24a381a 100644 --- a/projects/ad9467_fmc/kc705/Makefile +++ b/projects/ad9467_fmc/kc705/Makefile @@ -43,7 +43,7 @@ clean-all:clean make -C ../../../library/axi_ad9467 clean -ad9467_fmc_kc705.xpr: lib $(M_DEPS) +ad9467_fmc_kc705.xpr: $(M_DEPS) rm -rf $(M_FLIST) $(M_VIVADO) system_project.tcl >> ad9467_fmc_kc705_vivado.log 2>&1 diff --git a/projects/ad9467_fmc/zed/Makefile b/projects/ad9467_fmc/zed/Makefile index b5a83342a..66fa739bd 100644 --- a/projects/ad9467_fmc/zed/Makefile +++ b/projects/ad9467_fmc/zed/Makefile @@ -53,7 +53,7 @@ clean-all:clean make -C ../../../library/axi_hdmi_tx clean -ad9467_fmc_zed.xpr: lib $(M_DEPS) +ad9467_fmc_zed.xpr: $(M_DEPS) rm -rf $(M_FLIST) $(M_VIVADO) system_project.tcl >> ad9467_fmc_zed_vivado.log 2>&1 diff --git a/projects/ad9739a_fmc/zc706/Makefile b/projects/ad9739a_fmc/zc706/Makefile index c3fb90f30..8ef66f1e9 100644 --- a/projects/ad9739a_fmc/zc706/Makefile +++ b/projects/ad9739a_fmc/zc706/Makefile @@ -49,7 +49,7 @@ clean-all:clean make -C ../../../library/axi_hdmi_tx clean -ad9739a_fmc_zc706.xpr: lib $(M_DEPS) +ad9739a_fmc_zc706.xpr: $(M_DEPS) rm -rf $(M_FLIST) $(M_VIVADO) system_project.tcl >> ad9739a_fmc_zc706_vivado.log 2>&1 diff --git a/projects/adv7511/ac701/Makefile b/projects/adv7511/ac701/Makefile index 4e634f322..bed843785 100644 --- a/projects/adv7511/ac701/Makefile +++ b/projects/adv7511/ac701/Makefile @@ -45,7 +45,7 @@ clean-all:clean make -C ../../../library/axi_hdmi_tx clean -adv7511_ac701.xpr: lib $(M_DEPS) +adv7511_ac701.xpr: $(M_DEPS) rm -rf $(M_FLIST) $(M_VIVADO) system_project.tcl >> adv7511_ac701_vivado.log 2>&1 diff --git a/projects/adv7511/kc705/Makefile b/projects/adv7511/kc705/Makefile index 9761c9a73..2e50c6882 100644 --- a/projects/adv7511/kc705/Makefile +++ b/projects/adv7511/kc705/Makefile @@ -45,7 +45,7 @@ clean-all:clean make -C ../../../library/axi_hdmi_tx clean -adv7511_kc705.xpr: lib $(M_DEPS) +adv7511_kc705.xpr: $(M_DEPS) rm -rf $(M_FLIST) $(M_VIVADO) system_project.tcl >> adv7511_kc705_vivado.log 2>&1 diff --git a/projects/adv7511/kcu105/Makefile b/projects/adv7511/kcu105/Makefile index 741e91391..484987c1b 100644 --- a/projects/adv7511/kcu105/Makefile +++ b/projects/adv7511/kcu105/Makefile @@ -46,7 +46,7 @@ clean-all:clean make -C ../../../library/axi_hdmi_tx clean -adv7511_kcu105.xpr: lib $(M_DEPS) +adv7511_kcu105.xpr: $(M_DEPS) rm -rf $(M_FLIST) $(M_VIVADO) system_project.tcl >> adv7511_kcu105_vivado.log 2>&1 diff --git a/projects/adv7511/mitx045/Makefile b/projects/adv7511/mitx045/Makefile index 35a8313e7..33cef1c28 100644 --- a/projects/adv7511/mitx045/Makefile +++ b/projects/adv7511/mitx045/Makefile @@ -11,6 +11,7 @@ M_DEPS += ../../scripts/adi_project.tcl M_DEPS += ../../scripts/adi_board.tcl M_DEPS += system_bd.tcl M_DEPS += ../../common/mitx045/mitx045_system_bd.tcl +M_DEPS += ../../common/mitx045/mitx045_system_ps7.tcl M_DEPS += ../../../library/axi_spdif_tx/axi_spdif_tx.xpr M_DEPS += ../../../library/axi_i2s_adi/axi_i2s_adi.xpr M_DEPS += ../../../library/axi_clkgen/axi_clkgen.xpr @@ -46,7 +47,7 @@ clean-all:clean make -C ../../../library/axi_hdmi_tx clean -adv7511_mitx045.xpr: lib $(M_DEPS) +adv7511_mitx045.xpr: $(M_DEPS) rm -rf $(M_FLIST) $(M_VIVADO) system_project.tcl >> adv7511_mitx045_vivado.log 2>&1 diff --git a/projects/adv7511/vc707/Makefile b/projects/adv7511/vc707/Makefile index d324f6a6c..c9a6e791b 100644 --- a/projects/adv7511/vc707/Makefile +++ b/projects/adv7511/vc707/Makefile @@ -45,7 +45,7 @@ clean-all:clean make -C ../../../library/axi_hdmi_tx clean -adv7511_vc707.xpr: lib $(M_DEPS) +adv7511_vc707.xpr: $(M_DEPS) rm -rf $(M_FLIST) $(M_VIVADO) system_project.tcl >> adv7511_vc707_vivado.log 2>&1 diff --git a/projects/adv7511/zc702/Makefile b/projects/adv7511/zc702/Makefile index 7fb3e787b..62c3cc6b4 100644 --- a/projects/adv7511/zc702/Makefile +++ b/projects/adv7511/zc702/Makefile @@ -44,7 +44,7 @@ clean-all:clean make -C ../../../library/axi_hdmi_tx clean -adv7511_zc702.xpr: lib $(M_DEPS) +adv7511_zc702.xpr: $(M_DEPS) rm -rf $(M_FLIST) $(M_VIVADO) system_project.tcl >> adv7511_zc702_vivado.log 2>&1 diff --git a/projects/adv7511/zc706/Makefile b/projects/adv7511/zc706/Makefile index ffe567bbb..1adcacaf7 100644 --- a/projects/adv7511/zc706/Makefile +++ b/projects/adv7511/zc706/Makefile @@ -44,7 +44,7 @@ clean-all:clean make -C ../../../library/axi_hdmi_tx clean -adv7511_zc706.xpr: lib $(M_DEPS) +adv7511_zc706.xpr: $(M_DEPS) rm -rf $(M_FLIST) $(M_VIVADO) system_project.tcl >> adv7511_zc706_vivado.log 2>&1 diff --git a/projects/adv7511/zed/Makefile b/projects/adv7511/zed/Makefile index bb4b3a33b..5c859259f 100644 --- a/projects/adv7511/zed/Makefile +++ b/projects/adv7511/zed/Makefile @@ -48,7 +48,7 @@ clean-all:clean make -C ../../../library/axi_hdmi_tx clean -adv7511_zed.xpr: lib $(M_DEPS) +adv7511_zed.xpr: $(M_DEPS) rm -rf $(M_FLIST) $(M_VIVADO) system_project.tcl >> adv7511_zed_vivado.log 2>&1 diff --git a/projects/cftl_cip/zed/Makefile b/projects/cftl_cip/zed/Makefile index 39fc72237..9f9273237 100644 --- a/projects/cftl_cip/zed/Makefile +++ b/projects/cftl_cip/zed/Makefile @@ -55,7 +55,7 @@ clean-all:clean make -C ../../../library/axi_hdmi_tx clean -cftl_cip_zed.xpr: lib $(M_DEPS) +cftl_cip_zed.xpr: $(M_DEPS) rm -rf $(M_FLIST) $(M_VIVADO) system_project.tcl >> cftl_cip_zed_vivado.log 2>&1 diff --git a/projects/cftl_std/zed/Makefile b/projects/cftl_std/zed/Makefile index 4b6421566..ef7deb0c1 100644 --- a/projects/cftl_std/zed/Makefile +++ b/projects/cftl_std/zed/Makefile @@ -49,7 +49,7 @@ clean-all:clean make -C ../../../library/axi_hdmi_tx clean -cftl_std_zed.xpr: lib $(M_DEPS) +cftl_std_zed.xpr: $(M_DEPS) rm -rf $(M_FLIST) $(M_VIVADO) system_project.tcl >> cftl_std_zed_vivado.log 2>&1 diff --git a/projects/daq1/zc706/Makefile b/projects/daq1/zc706/Makefile index 34c58f160..dcacc98b5 100644 --- a/projects/daq1/zc706/Makefile +++ b/projects/daq1/zc706/Makefile @@ -55,7 +55,7 @@ clean-all:clean make -C ../../../library/axi_hdmi_tx clean -daq1_zc706.xpr: lib $(M_DEPS) +daq1_zc706.xpr: $(M_DEPS) rm -rf $(M_FLIST) $(M_VIVADO) system_project.tcl >> daq1_zc706_vivado.log 2>&1 diff --git a/projects/daq2/kc705/Makefile b/projects/daq2/kc705/Makefile index 5f17d6b3d..fc02cd4ab 100644 --- a/projects/daq2/kc705/Makefile +++ b/projects/daq2/kc705/Makefile @@ -56,7 +56,7 @@ clean-all:clean make -C ../../../library/axi_ad9144 clean -daq2_kc705.xpr: lib $(M_DEPS) +daq2_kc705.xpr: $(M_DEPS) rm -rf $(M_FLIST) $(M_VIVADO) system_project.tcl >> daq2_kc705_vivado.log 2>&1 diff --git a/projects/daq2/kcu105/Makefile b/projects/daq2/kcu105/Makefile index 7a7efe482..6fea8abea 100644 --- a/projects/daq2/kcu105/Makefile +++ b/projects/daq2/kcu105/Makefile @@ -57,7 +57,7 @@ clean-all:clean make -C ../../../library/axi_ad9144 clean -daq2_kcu105.xpr: lib $(M_DEPS) +daq2_kcu105.xpr: $(M_DEPS) rm -rf $(M_FLIST) $(M_VIVADO) system_project.tcl >> daq2_kcu105_vivado.log 2>&1 diff --git a/projects/daq2/vc707/Makefile b/projects/daq2/vc707/Makefile index ce631f669..2c8091a22 100644 --- a/projects/daq2/vc707/Makefile +++ b/projects/daq2/vc707/Makefile @@ -56,7 +56,7 @@ clean-all:clean make -C ../../../library/axi_ad9144 clean -daq2_vc707.xpr: lib $(M_DEPS) +daq2_vc707.xpr: $(M_DEPS) rm -rf $(M_FLIST) $(M_VIVADO) system_project.tcl >> daq2_vc707_vivado.log 2>&1 diff --git a/projects/daq2/zc706/Makefile b/projects/daq2/zc706/Makefile index 2e6a26ea2..b1476331b 100644 --- a/projects/daq2/zc706/Makefile +++ b/projects/daq2/zc706/Makefile @@ -60,7 +60,7 @@ clean-all:clean make -C ../../../library/axi_hdmi_tx clean -daq2_zc706.xpr: lib $(M_DEPS) +daq2_zc706.xpr: $(M_DEPS) rm -rf $(M_FLIST) $(M_VIVADO) system_project.tcl >> daq2_zc706_vivado.log 2>&1 diff --git a/projects/daq3/zc706/Makefile b/projects/daq3/zc706/Makefile index f0538a77f..d61718f3b 100644 --- a/projects/daq3/zc706/Makefile +++ b/projects/daq3/zc706/Makefile @@ -60,7 +60,7 @@ clean-all:clean make -C ../../../library/axi_hdmi_tx clean -daq3_zc706.xpr: lib $(M_DEPS) +daq3_zc706.xpr: $(M_DEPS) rm -rf $(M_FLIST) $(M_VIVADO) system_project.tcl >> daq3_zc706_vivado.log 2>&1 diff --git a/projects/fmcadc2/vc707/Makefile b/projects/fmcadc2/vc707/Makefile index 694f2a1a6..10bd7b6dc 100644 --- a/projects/fmcadc2/vc707/Makefile +++ b/projects/fmcadc2/vc707/Makefile @@ -52,7 +52,7 @@ clean-all:clean make -C ../../../library/util_dacfifo clean -fmcadc2_vc707.xpr: lib $(M_DEPS) +fmcadc2_vc707.xpr: $(M_DEPS) rm -rf $(M_FLIST) $(M_VIVADO) system_project.tcl >> fmcadc2_vc707_vivado.log 2>&1 diff --git a/projects/fmcadc2/zc706/Makefile b/projects/fmcadc2/zc706/Makefile index 4a7047f2c..6522dc5b7 100644 --- a/projects/fmcadc2/zc706/Makefile +++ b/projects/fmcadc2/zc706/Makefile @@ -56,7 +56,7 @@ clean-all:clean make -C ../../../library/axi_hdmi_tx clean -fmcadc2_zc706.xpr: lib $(M_DEPS) +fmcadc2_zc706.xpr: $(M_DEPS) rm -rf $(M_FLIST) $(M_VIVADO) system_project.tcl >> fmcadc2_zc706_vivado.log 2>&1 diff --git a/projects/fmcadc4/zc706/Makefile b/projects/fmcadc4/zc706/Makefile index ce5c2086e..172bef372 100644 --- a/projects/fmcadc4/zc706/Makefile +++ b/projects/fmcadc4/zc706/Makefile @@ -56,7 +56,7 @@ clean-all:clean make -C ../../../library/axi_hdmi_tx clean -fmcadc4_zc706.xpr: lib $(M_DEPS) +fmcadc4_zc706.xpr: $(M_DEPS) rm -rf $(M_FLIST) $(M_VIVADO) system_project.tcl >> fmcadc4_zc706_vivado.log 2>&1 diff --git a/projects/fmcadc5/vc707/Makefile b/projects/fmcadc5/vc707/Makefile index 2857eaaee..df3eb900c 100644 --- a/projects/fmcadc5/vc707/Makefile +++ b/projects/fmcadc5/vc707/Makefile @@ -52,7 +52,7 @@ clean-all:clean make -C ../../../library/util_dacfifo clean -fmcadc5_vc707.xpr: lib $(M_DEPS) +fmcadc5_vc707.xpr: $(M_DEPS) rm -rf $(M_FLIST) $(M_VIVADO) system_project.tcl >> fmcadc5_vc707_vivado.log 2>&1 diff --git a/projects/fmcjesdadc1/kc705/Makefile b/projects/fmcjesdadc1/kc705/Makefile index 50c457962..9448cd1e1 100644 --- a/projects/fmcjesdadc1/kc705/Makefile +++ b/projects/fmcjesdadc1/kc705/Makefile @@ -47,7 +47,7 @@ clean-all:clean make -C ../../../library/axi_ad9250 clean -fmcjesdadc1_kc705.xpr: lib $(M_DEPS) +fmcjesdadc1_kc705.xpr: $(M_DEPS) rm -rf $(M_FLIST) $(M_VIVADO) system_project.tcl >> fmcjesdadc1_kc705_vivado.log 2>&1 diff --git a/projects/fmcjesdadc1/vc707/Makefile b/projects/fmcjesdadc1/vc707/Makefile index 394e7e747..465f73f5f 100644 --- a/projects/fmcjesdadc1/vc707/Makefile +++ b/projects/fmcjesdadc1/vc707/Makefile @@ -47,7 +47,7 @@ clean-all:clean make -C ../../../library/axi_ad9250 clean -fmcjesdadc1_vc707.xpr: lib $(M_DEPS) +fmcjesdadc1_vc707.xpr: $(M_DEPS) rm -rf $(M_FLIST) $(M_VIVADO) system_project.tcl >> fmcjesdadc1_vc707_vivado.log 2>&1 diff --git a/projects/fmcjesdadc1/zc706/Makefile b/projects/fmcjesdadc1/zc706/Makefile index cfaef57fb..c1ffb945e 100644 --- a/projects/fmcjesdadc1/zc706/Makefile +++ b/projects/fmcjesdadc1/zc706/Makefile @@ -53,7 +53,7 @@ clean-all:clean make -C ../../../library/axi_hdmi_tx clean -fmcjesdadc1_zc706.xpr: lib $(M_DEPS) +fmcjesdadc1_zc706.xpr: $(M_DEPS) rm -rf $(M_FLIST) $(M_VIVADO) system_project.tcl >> fmcjesdadc1_zc706_vivado.log 2>&1 diff --git a/projects/fmcomms1/ac701/Makefile b/projects/fmcomms1/ac701/Makefile index 2a6f9d4da..161f53228 100644 --- a/projects/fmcomms1/ac701/Makefile +++ b/projects/fmcomms1/ac701/Makefile @@ -48,7 +48,7 @@ clean-all:clean make -C ../../../library/axi_ad9643 clean -fmcomms1_ac701.xpr: lib $(M_DEPS) +fmcomms1_ac701.xpr: $(M_DEPS) rm -rf $(M_FLIST) $(M_VIVADO) system_project.tcl >> fmcomms1_ac701_vivado.log 2>&1 diff --git a/projects/fmcomms1/kc705/Makefile b/projects/fmcomms1/kc705/Makefile index a88e49be4..6c754cedb 100644 --- a/projects/fmcomms1/kc705/Makefile +++ b/projects/fmcomms1/kc705/Makefile @@ -48,7 +48,7 @@ clean-all:clean make -C ../../../library/axi_ad9643 clean -fmcomms1_kc705.xpr: lib $(M_DEPS) +fmcomms1_kc705.xpr: $(M_DEPS) rm -rf $(M_FLIST) $(M_VIVADO) system_project.tcl >> fmcomms1_kc705_vivado.log 2>&1 diff --git a/projects/fmcomms1/vc707/Makefile b/projects/fmcomms1/vc707/Makefile index d29df93e7..850220f5a 100644 --- a/projects/fmcomms1/vc707/Makefile +++ b/projects/fmcomms1/vc707/Makefile @@ -48,7 +48,7 @@ clean-all:clean make -C ../../../library/axi_ad9643 clean -fmcomms1_vc707.xpr: lib $(M_DEPS) +fmcomms1_vc707.xpr: $(M_DEPS) rm -rf $(M_FLIST) $(M_VIVADO) system_project.tcl >> fmcomms1_vc707_vivado.log 2>&1 diff --git a/projects/fmcomms1/zc702/Makefile b/projects/fmcomms1/zc702/Makefile index dc8e32fb5..99a918f8b 100644 --- a/projects/fmcomms1/zc702/Makefile +++ b/projects/fmcomms1/zc702/Makefile @@ -54,7 +54,7 @@ clean-all:clean make -C ../../../library/axi_hdmi_tx clean -fmcomms1_zc702.xpr: lib $(M_DEPS) +fmcomms1_zc702.xpr: $(M_DEPS) rm -rf $(M_FLIST) $(M_VIVADO) system_project.tcl >> fmcomms1_zc702_vivado.log 2>&1 diff --git a/projects/fmcomms1/zc706/Makefile b/projects/fmcomms1/zc706/Makefile index 74b64d471..c2abc31ee 100644 --- a/projects/fmcomms1/zc706/Makefile +++ b/projects/fmcomms1/zc706/Makefile @@ -54,7 +54,7 @@ clean-all:clean make -C ../../../library/axi_hdmi_tx clean -fmcomms1_zc706.xpr: lib $(M_DEPS) +fmcomms1_zc706.xpr: $(M_DEPS) rm -rf $(M_FLIST) $(M_VIVADO) system_project.tcl >> fmcomms1_zc706_vivado.log 2>&1 diff --git a/projects/fmcomms1/zed/Makefile b/projects/fmcomms1/zed/Makefile index 47c600950..f1b530507 100644 --- a/projects/fmcomms1/zed/Makefile +++ b/projects/fmcomms1/zed/Makefile @@ -58,7 +58,7 @@ clean-all:clean make -C ../../../library/axi_hdmi_tx clean -fmcomms1_zed.xpr: lib $(M_DEPS) +fmcomms1_zed.xpr: $(M_DEPS) rm -rf $(M_FLIST) $(M_VIVADO) system_project.tcl >> fmcomms1_zed_vivado.log 2>&1 diff --git a/projects/fmcomms2/Makefile b/projects/fmcomms2/Makefile index 070b633f2..3b22d4fb4 100644 --- a/projects/fmcomms2/Makefile +++ b/projects/fmcomms2/Makefile @@ -15,6 +15,7 @@ all: -make -C vc707 all -make -C zc702 all -make -C zc706 all + -make -C zc706pr all -make -C zed all @@ -27,6 +28,7 @@ clean: make -C vc707 clean make -C zc702 clean make -C zc706 clean + make -C zc706pr clean make -C zed clean @@ -39,6 +41,7 @@ clean-all: make -C vc707 clean-all make -C zc702 clean-all make -C zc706 clean-all + make -C zc706pr clean-all make -C zed clean-all #################################################################################### diff --git a/projects/fmcomms2/ac701/Makefile b/projects/fmcomms2/ac701/Makefile index e6c806d5e..0fa78954a 100644 --- a/projects/fmcomms2/ac701/Makefile +++ b/projects/fmcomms2/ac701/Makefile @@ -50,7 +50,7 @@ clean-all:clean make -C ../../../library/axi_ad9361 clean -fmcomms2_ac701.xpr: lib $(M_DEPS) +fmcomms2_ac701.xpr: $(M_DEPS) rm -rf $(M_FLIST) $(M_VIVADO) system_project.tcl >> fmcomms2_ac701_vivado.log 2>&1 diff --git a/projects/fmcomms2/kc705/Makefile b/projects/fmcomms2/kc705/Makefile index 5c79ac51a..b405c0146 100644 --- a/projects/fmcomms2/kc705/Makefile +++ b/projects/fmcomms2/kc705/Makefile @@ -50,7 +50,7 @@ clean-all:clean make -C ../../../library/axi_ad9361 clean -fmcomms2_kc705.xpr: lib $(M_DEPS) +fmcomms2_kc705.xpr: $(M_DEPS) rm -rf $(M_FLIST) $(M_VIVADO) system_project.tcl >> fmcomms2_kc705_vivado.log 2>&1 diff --git a/projects/fmcomms2/mitx045/Makefile b/projects/fmcomms2/mitx045/Makefile index adeebf661..7d7556da1 100644 --- a/projects/fmcomms2/mitx045/Makefile +++ b/projects/fmcomms2/mitx045/Makefile @@ -13,6 +13,7 @@ M_DEPS += system_bd.tcl M_DEPS += ../../common/mitx045/mitx045_system_bd.tcl M_DEPS += ../../common/xilinx/sys_wfifo.tcl M_DEPS += ../common/fmcomms2_bd.tcl +M_DEPS += ../../common/mitx045/mitx045_system_ps7.tcl M_DEPS += ../../../library/util_dac_unpack/util_dac_unpack.xpr M_DEPS += ../../../library/util_adc_pack/util_adc_pack.xpr M_DEPS += ../../../library/util_wfifo/util_wfifo.xpr @@ -58,7 +59,7 @@ clean-all:clean make -C ../../../library/axi_hdmi_tx clean -fmcomms2_mitx045.xpr: lib $(M_DEPS) +fmcomms2_mitx045.xpr: $(M_DEPS) rm -rf $(M_FLIST) $(M_VIVADO) system_project.tcl >> fmcomms2_mitx045_vivado.log 2>&1 diff --git a/projects/fmcomms2/rfsom/Makefile b/projects/fmcomms2/rfsom/Makefile index f670d7471..bb390f1b6 100644 --- a/projects/fmcomms2/rfsom/Makefile +++ b/projects/fmcomms2/rfsom/Makefile @@ -59,7 +59,7 @@ clean-all:clean make -C ../../../library/axi_hdmi_tx clean -fmcomms2_rfsom.xpr: lib $(M_DEPS) +fmcomms2_rfsom.xpr: $(M_DEPS) rm -rf $(M_FLIST) $(M_VIVADO) system_project.tcl >> fmcomms2_rfsom_vivado.log 2>&1 diff --git a/projects/fmcomms2/vc707/Makefile b/projects/fmcomms2/vc707/Makefile index 4180084b9..60659331d 100644 --- a/projects/fmcomms2/vc707/Makefile +++ b/projects/fmcomms2/vc707/Makefile @@ -50,7 +50,7 @@ clean-all:clean make -C ../../../library/axi_ad9361 clean -fmcomms2_vc707.xpr: lib $(M_DEPS) +fmcomms2_vc707.xpr: $(M_DEPS) rm -rf $(M_FLIST) $(M_VIVADO) system_project.tcl >> fmcomms2_vc707_vivado.log 2>&1 diff --git a/projects/fmcomms2/zc702/Makefile b/projects/fmcomms2/zc702/Makefile index 21a0ba752..e08612e8d 100644 --- a/projects/fmcomms2/zc702/Makefile +++ b/projects/fmcomms2/zc702/Makefile @@ -56,7 +56,7 @@ clean-all:clean make -C ../../../library/axi_hdmi_tx clean -fmcomms2_zc702.xpr: lib $(M_DEPS) +fmcomms2_zc702.xpr: $(M_DEPS) rm -rf $(M_FLIST) $(M_VIVADO) system_project.tcl >> fmcomms2_zc702_vivado.log 2>&1 diff --git a/projects/fmcomms2/zc706/Makefile b/projects/fmcomms2/zc706/Makefile index b679b2a0a..0b3f606bf 100644 --- a/projects/fmcomms2/zc706/Makefile +++ b/projects/fmcomms2/zc706/Makefile @@ -13,6 +13,7 @@ M_DEPS += system_bd.tcl M_DEPS += ../../common/zc706/zc706_system_bd.tcl M_DEPS += ../../common/xilinx/sys_wfifo.tcl M_DEPS += ../common/fmcomms2_bd.tcl +M_DEPS += ../common/prcfg_bd.tcl M_DEPS += ../../../library/util_dac_unpack/util_dac_unpack.xpr M_DEPS += ../../../library/util_adc_pack/util_adc_pack.xpr M_DEPS += ../../../library/util_wfifo/util_wfifo.xpr @@ -56,7 +57,7 @@ clean-all:clean make -C ../../../library/axi_hdmi_tx clean -fmcomms2_zc706.xpr: lib $(M_DEPS) +fmcomms2_zc706.xpr: $(M_DEPS) rm -rf $(M_FLIST) $(M_VIVADO) system_project.tcl >> fmcomms2_zc706_vivado.log 2>&1 diff --git a/projects/fmcomms2/zc706pr/Makefile b/projects/fmcomms2/zc706pr/Makefile index aa335bcc2..fb739d070 100644 --- a/projects/fmcomms2/zc706pr/Makefile +++ b/projects/fmcomms2/zc706pr/Makefile @@ -15,14 +15,14 @@ M_DEPS += ../../common/zc706/zc706_system_bd.tcl M_DEPS += ../../common/xilinx/sys_wfifo.tcl M_DEPS += ../common/fmcomms2_bd.tcl M_DEPS += ../common/prcfg_bd.tcl -M_DEPS += ../../../library/axi_dmac/axi_dmac.xpr M_DEPS += ../../../library/util_dac_unpack/util_dac_unpack.xpr -M_DEPS += ../../../library/axi_ad9361/axi_ad9361.xpr -M_DEPS += ../../../library/util_wfifo/util_wfifo.xpr -M_DEPS += ../../../library/axi_hdmi_tx/axi_hdmi_tx.xpr -M_DEPS += ../../../library/axi_clkgen/axi_clkgen.xpr M_DEPS += ../../../library/util_adc_pack/util_adc_pack.xpr +M_DEPS += ../../../library/util_wfifo/util_wfifo.xpr +M_DEPS += ../../../library/axi_dmac/axi_dmac.xpr M_DEPS += ../../../library/axi_spdif_tx/axi_spdif_tx.xpr +M_DEPS += ../../../library/axi_ad9361/axi_ad9361.xpr +M_DEPS += ../../../library/axi_clkgen/axi_clkgen.xpr +M_DEPS += ../../../library/axi_hdmi_tx/axi_hdmi_tx.xpr M_VIVADO := vivado -mode batch -source @@ -48,30 +48,30 @@ clean: clean-all:clean - make -C ../../../library/axi_dmac clean make -C ../../../library/util_dac_unpack clean - make -C ../../../library/axi_ad9361 clean - make -C ../../../library/util_wfifo clean - make -C ../../../library/axi_hdmi_tx clean - make -C ../../../library/axi_clkgen clean make -C ../../../library/util_adc_pack clean + make -C ../../../library/util_wfifo clean + make -C ../../../library/axi_dmac clean make -C ../../../library/axi_spdif_tx clean + make -C ../../../library/axi_ad9361 clean + make -C ../../../library/axi_clkgen clean + make -C ../../../library/axi_hdmi_tx clean -fmcomms2_zc706pr.xpr: lib $(M_DEPS) +fmcomms2_zc706pr.xpr: $(M_DEPS) rm -rf $(M_FLIST) $(M_VIVADO) system_project.tcl >> fmcomms2_zc706pr_vivado.log 2>&1 lib: - make -C ../../../library/axi_dmac make -C ../../../library/util_dac_unpack - make -C ../../../library/axi_ad9361 - make -C ../../../library/util_wfifo - make -C ../../../library/axi_hdmi_tx - make -C ../../../library/axi_clkgen make -C ../../../library/util_adc_pack + make -C ../../../library/util_wfifo + make -C ../../../library/axi_dmac make -C ../../../library/axi_spdif_tx + make -C ../../../library/axi_ad9361 + make -C ../../../library/axi_clkgen + make -C ../../../library/axi_hdmi_tx #################################################################################### #################################################################################### diff --git a/projects/fmcomms2/zed/Makefile b/projects/fmcomms2/zed/Makefile index 358f3b7a9..7a713640c 100644 --- a/projects/fmcomms2/zed/Makefile +++ b/projects/fmcomms2/zed/Makefile @@ -60,7 +60,7 @@ clean-all:clean make -C ../../../library/axi_hdmi_tx clean -fmcomms2_zed.xpr: lib $(M_DEPS) +fmcomms2_zed.xpr: $(M_DEPS) rm -rf $(M_FLIST) $(M_VIVADO) system_project.tcl >> fmcomms2_zed_vivado.log 2>&1 diff --git a/projects/fmcomms2_pr/mitx045/Makefile b/projects/fmcomms2_pr/mitx045/Makefile index ccb29a926..606261822 100644 --- a/projects/fmcomms2_pr/mitx045/Makefile +++ b/projects/fmcomms2_pr/mitx045/Makefile @@ -14,6 +14,7 @@ M_DEPS += ../../common/mitx045/mitx045_system_bd.tcl M_DEPS += ../../common/xilinx/sys_wfifo.tcl M_DEPS += ../../fmcomms2/common/fmcomms2_bd.tcl M_DEPS += ../../fmcomms2_pr/common/prcfg_setup.tcl +M_DEPS += ../../common/mitx045/mitx045_system_ps7.tcl M_DEPS += ../../../library/util_dac_unpack/util_dac_unpack.xpr M_DEPS += ../../../library/util_adc_pack/util_adc_pack.xpr M_DEPS += ../../../library/util_wfifo/util_wfifo.xpr @@ -59,7 +60,7 @@ clean-all:clean make -C ../../../library/axi_hdmi_tx clean -fmcomms2_pr_mitx045.xpr: lib $(M_DEPS) +fmcomms2_pr_mitx045.xpr: $(M_DEPS) rm -rf $(M_FLIST) $(M_VIVADO) system_project.tcl >> fmcomms2_pr_mitx045_vivado.log 2>&1 diff --git a/projects/fmcomms2_pr/zc706/Makefile b/projects/fmcomms2_pr/zc706/Makefile index 99eeb4426..3895287ba 100644 --- a/projects/fmcomms2_pr/zc706/Makefile +++ b/projects/fmcomms2_pr/zc706/Makefile @@ -57,7 +57,7 @@ clean-all:clean make -C ../../../library/axi_hdmi_tx clean -fmcomms2_pr_zc706.xpr: lib $(M_DEPS) +fmcomms2_pr_zc706.xpr: $(M_DEPS) rm -rf $(M_FLIST) $(M_VIVADO) system_project.tcl >> fmcomms2_pr_zc706_vivado.log 2>&1 diff --git a/projects/fmcomms5/zc702/Makefile b/projects/fmcomms5/zc702/Makefile index 17b25c694..5287739ba 100644 --- a/projects/fmcomms5/zc702/Makefile +++ b/projects/fmcomms5/zc702/Makefile @@ -53,7 +53,7 @@ clean-all:clean make -C ../../../library/axi_hdmi_tx clean -fmcomms5_zc702.xpr: lib $(M_DEPS) +fmcomms5_zc702.xpr: $(M_DEPS) rm -rf $(M_FLIST) $(M_VIVADO) system_project.tcl >> fmcomms5_zc702_vivado.log 2>&1 diff --git a/projects/fmcomms5/zc706/Makefile b/projects/fmcomms5/zc706/Makefile index 3bb49232d..141208868 100644 --- a/projects/fmcomms5/zc706/Makefile +++ b/projects/fmcomms5/zc706/Makefile @@ -53,7 +53,7 @@ clean-all:clean make -C ../../../library/axi_hdmi_tx clean -fmcomms5_zc706.xpr: lib $(M_DEPS) +fmcomms5_zc706.xpr: $(M_DEPS) rm -rf $(M_FLIST) $(M_VIVADO) system_project.tcl >> fmcomms5_zc706_vivado.log 2>&1 diff --git a/projects/fmcomms6/zc706/Makefile b/projects/fmcomms6/zc706/Makefile index 786d6e51a..2a8cec6c9 100644 --- a/projects/fmcomms6/zc706/Makefile +++ b/projects/fmcomms6/zc706/Makefile @@ -52,7 +52,7 @@ clean-all:clean make -C ../../../library/axi_hdmi_tx clean -fmcomms6_zc706.xpr: lib $(M_DEPS) +fmcomms6_zc706.xpr: $(M_DEPS) rm -rf $(M_FLIST) $(M_VIVADO) system_project.tcl >> fmcomms6_zc706_vivado.log 2>&1 diff --git a/projects/fmcomms7/zc706/Makefile b/projects/fmcomms7/zc706/Makefile index 52326e123..bab366e77 100644 --- a/projects/fmcomms7/zc706/Makefile +++ b/projects/fmcomms7/zc706/Makefile @@ -60,7 +60,7 @@ clean-all:clean make -C ../../../library/axi_hdmi_tx clean -fmcomms7_zc706.xpr: lib $(M_DEPS) +fmcomms7_zc706.xpr: $(M_DEPS) rm -rf $(M_FLIST) $(M_VIVADO) system_project.tcl >> fmcomms7_zc706_vivado.log 2>&1 diff --git a/projects/imageon/zc706/Makefile b/projects/imageon/zc706/Makefile index 76b688406..f624c185c 100644 --- a/projects/imageon/zc706/Makefile +++ b/projects/imageon/zc706/Makefile @@ -49,7 +49,7 @@ clean-all:clean make -C ../../../library/axi_hdmi_tx clean -imageon_zc706.xpr: lib $(M_DEPS) +imageon_zc706.xpr: $(M_DEPS) rm -rf $(M_FLIST) $(M_VIVADO) system_project.tcl >> imageon_zc706_vivado.log 2>&1 diff --git a/projects/imageon/zed/Makefile b/projects/imageon/zed/Makefile index a72071a7e..16af44455 100644 --- a/projects/imageon/zed/Makefile +++ b/projects/imageon/zed/Makefile @@ -53,7 +53,7 @@ clean-all:clean make -C ../../../library/axi_hdmi_tx clean -imageon_zed.xpr: lib $(M_DEPS) +imageon_zed.xpr: $(M_DEPS) rm -rf $(M_FLIST) $(M_VIVADO) system_project.tcl >> imageon_zed_vivado.log 2>&1 diff --git a/projects/imageon_loopback/zed/Makefile b/projects/imageon_loopback/zed/Makefile index 5feb7af48..49509dc83 100644 --- a/projects/imageon_loopback/zed/Makefile +++ b/projects/imageon_loopback/zed/Makefile @@ -49,7 +49,7 @@ clean-all:clean make -C ../../../library/axi_hdmi_tx clean -imageon_loopback_zed.xpr: lib $(M_DEPS) +imageon_loopback_zed.xpr: $(M_DEPS) rm -rf $(M_FLIST) $(M_VIVADO) system_project.tcl >> imageon_loopback_zed_vivado.log 2>&1 diff --git a/projects/motcon1_fmc/zed/Makefile b/projects/motcon1_fmc/zed/Makefile index b45ceb0e8..2a2eb9bce 100644 --- a/projects/motcon1_fmc/zed/Makefile +++ b/projects/motcon1_fmc/zed/Makefile @@ -60,7 +60,7 @@ clean-all:clean make -C ../../../library/axi_hdmi_tx clean -motcon1_fmc_zed.xpr: lib $(M_DEPS) +motcon1_fmc_zed.xpr: $(M_DEPS) rm -rf $(M_FLIST) $(M_VIVADO) system_project.tcl >> motcon1_fmc_zed_vivado.log 2>&1 diff --git a/projects/motcon2_fmc/zed/Makefile b/projects/motcon2_fmc/zed/Makefile index b96a880f9..6697b46fc 100644 --- a/projects/motcon2_fmc/zed/Makefile +++ b/projects/motcon2_fmc/zed/Makefile @@ -63,7 +63,7 @@ clean-all:clean make -C ../../../library/axi_hdmi_tx clean -motcon2_fmc_zed.xpr: lib $(M_DEPS) +motcon2_fmc_zed.xpr: $(M_DEPS) rm -rf $(M_FLIST) $(M_VIVADO) system_project.tcl >> motcon2_fmc_zed_vivado.log 2>&1 diff --git a/projects/usdrx1/zc706/Makefile b/projects/usdrx1/zc706/Makefile index 1648b53b8..4a8b6c4a8 100644 --- a/projects/usdrx1/zc706/Makefile +++ b/projects/usdrx1/zc706/Makefile @@ -53,7 +53,7 @@ clean-all:clean make -C ../../../library/axi_hdmi_tx clean -usdrx1_zc706.xpr: lib $(M_DEPS) +usdrx1_zc706.xpr: $(M_DEPS) rm -rf $(M_FLIST) $(M_VIVADO) system_project.tcl >> usdrx1_zc706_vivado.log 2>&1