Makefile: Updated makefiles so that the project recipe does not depend on lib

main
Adrian Costina 2015-05-06 14:58:29 +03:00
parent 65af205d6b
commit 949abcdc8f
60 changed files with 87 additions and 74 deletions

View File

@ -27,6 +27,8 @@ M_DEPS += splitter.v
M_DEPS += response_generator.v
M_DEPS += axi_dmac.v
M_DEPS += axi_dmac_constr.xdc
M_DEPS += ../util_axis_resize/util_axis_resize.xpr
M_DEPS += ../util_axis_fifo/util_axis_fifo.xpr
M_VIVADO := vivado -mode batch -source
@ -41,8 +43,8 @@ M_FLIST += .Xil
.PHONY: all clean clean-all
all: axi_dmac.xpr
.PHONY: all dep clean clean-all
all: dep axi_dmac.xpr
clean:clean-all
@ -56,5 +58,8 @@ axi_dmac.xpr: $(M_DEPS)
rm -rf $(M_FLIST)
$(M_VIVADO) axi_dmac_ip.tcl >> axi_dmac_ip.log 2>&1
dep:
make -C ../util_axis_resize
make -C ../util_axis_fifo
####################################################################################
####################################################################################

View File

@ -8,6 +8,7 @@
M_DEPS := util_pmod_adc_ip.tcl
M_DEPS += ../scripts/adi_env.tcl
M_DEPS += ../scripts/adi_ip.tcl
M_DEPS += util_pmod_adc_constr.xdc
M_DEPS += util_pmod_adc.v
M_DEPS +=

View File

@ -47,7 +47,7 @@ clean-all:clean
make -C ../../../library/axi_ad6676 clean
ad6676evb_vc707.xpr: lib $(M_DEPS)
ad6676evb_vc707.xpr: $(M_DEPS)
rm -rf $(M_FLIST)
$(M_VIVADO) system_project.tcl >> ad6676evb_vc707_vivado.log 2>&1

View File

@ -53,7 +53,7 @@ clean-all:clean
make -C ../../../library/axi_hdmi_tx clean
ad6676evb_zc706.xpr: lib $(M_DEPS)
ad6676evb_zc706.xpr: $(M_DEPS)
rm -rf $(M_FLIST)
$(M_VIVADO) system_project.tcl >> ad6676evb_zc706_vivado.log 2>&1

View File

@ -52,7 +52,7 @@ clean-all:clean
make -C ../../../library/axi_hdmi_tx clean
ad9265_fmc_zc706.xpr: lib $(M_DEPS)
ad9265_fmc_zc706.xpr: $(M_DEPS)
rm -rf $(M_FLIST)
$(M_VIVADO) system_project.tcl >> ad9265_fmc_zc706_vivado.log 2>&1

View File

@ -49,7 +49,7 @@ clean-all:clean
make -C ../../../library/axi_hdmi_tx clean
ad9434_fmc_zc706.xpr: lib $(M_DEPS)
ad9434_fmc_zc706.xpr: $(M_DEPS)
rm -rf $(M_FLIST)
$(M_VIVADO) system_project.tcl >> ad9434_fmc_zc706_vivado.log 2>&1

View File

@ -43,7 +43,7 @@ clean-all:clean
make -C ../../../library/axi_ad9467 clean
ad9467_fmc_kc705.xpr: lib $(M_DEPS)
ad9467_fmc_kc705.xpr: $(M_DEPS)
rm -rf $(M_FLIST)
$(M_VIVADO) system_project.tcl >> ad9467_fmc_kc705_vivado.log 2>&1

View File

@ -53,7 +53,7 @@ clean-all:clean
make -C ../../../library/axi_hdmi_tx clean
ad9467_fmc_zed.xpr: lib $(M_DEPS)
ad9467_fmc_zed.xpr: $(M_DEPS)
rm -rf $(M_FLIST)
$(M_VIVADO) system_project.tcl >> ad9467_fmc_zed_vivado.log 2>&1

View File

@ -49,7 +49,7 @@ clean-all:clean
make -C ../../../library/axi_hdmi_tx clean
ad9739a_fmc_zc706.xpr: lib $(M_DEPS)
ad9739a_fmc_zc706.xpr: $(M_DEPS)
rm -rf $(M_FLIST)
$(M_VIVADO) system_project.tcl >> ad9739a_fmc_zc706_vivado.log 2>&1

View File

@ -45,7 +45,7 @@ clean-all:clean
make -C ../../../library/axi_hdmi_tx clean
adv7511_ac701.xpr: lib $(M_DEPS)
adv7511_ac701.xpr: $(M_DEPS)
rm -rf $(M_FLIST)
$(M_VIVADO) system_project.tcl >> adv7511_ac701_vivado.log 2>&1

View File

@ -45,7 +45,7 @@ clean-all:clean
make -C ../../../library/axi_hdmi_tx clean
adv7511_kc705.xpr: lib $(M_DEPS)
adv7511_kc705.xpr: $(M_DEPS)
rm -rf $(M_FLIST)
$(M_VIVADO) system_project.tcl >> adv7511_kc705_vivado.log 2>&1

View File

@ -46,7 +46,7 @@ clean-all:clean
make -C ../../../library/axi_hdmi_tx clean
adv7511_kcu105.xpr: lib $(M_DEPS)
adv7511_kcu105.xpr: $(M_DEPS)
rm -rf $(M_FLIST)
$(M_VIVADO) system_project.tcl >> adv7511_kcu105_vivado.log 2>&1

View File

@ -11,6 +11,7 @@ M_DEPS += ../../scripts/adi_project.tcl
M_DEPS += ../../scripts/adi_board.tcl
M_DEPS += system_bd.tcl
M_DEPS += ../../common/mitx045/mitx045_system_bd.tcl
M_DEPS += ../../common/mitx045/mitx045_system_ps7.tcl
M_DEPS += ../../../library/axi_spdif_tx/axi_spdif_tx.xpr
M_DEPS += ../../../library/axi_i2s_adi/axi_i2s_adi.xpr
M_DEPS += ../../../library/axi_clkgen/axi_clkgen.xpr
@ -46,7 +47,7 @@ clean-all:clean
make -C ../../../library/axi_hdmi_tx clean
adv7511_mitx045.xpr: lib $(M_DEPS)
adv7511_mitx045.xpr: $(M_DEPS)
rm -rf $(M_FLIST)
$(M_VIVADO) system_project.tcl >> adv7511_mitx045_vivado.log 2>&1

View File

@ -45,7 +45,7 @@ clean-all:clean
make -C ../../../library/axi_hdmi_tx clean
adv7511_vc707.xpr: lib $(M_DEPS)
adv7511_vc707.xpr: $(M_DEPS)
rm -rf $(M_FLIST)
$(M_VIVADO) system_project.tcl >> adv7511_vc707_vivado.log 2>&1

View File

@ -44,7 +44,7 @@ clean-all:clean
make -C ../../../library/axi_hdmi_tx clean
adv7511_zc702.xpr: lib $(M_DEPS)
adv7511_zc702.xpr: $(M_DEPS)
rm -rf $(M_FLIST)
$(M_VIVADO) system_project.tcl >> adv7511_zc702_vivado.log 2>&1

View File

@ -44,7 +44,7 @@ clean-all:clean
make -C ../../../library/axi_hdmi_tx clean
adv7511_zc706.xpr: lib $(M_DEPS)
adv7511_zc706.xpr: $(M_DEPS)
rm -rf $(M_FLIST)
$(M_VIVADO) system_project.tcl >> adv7511_zc706_vivado.log 2>&1

View File

@ -48,7 +48,7 @@ clean-all:clean
make -C ../../../library/axi_hdmi_tx clean
adv7511_zed.xpr: lib $(M_DEPS)
adv7511_zed.xpr: $(M_DEPS)
rm -rf $(M_FLIST)
$(M_VIVADO) system_project.tcl >> adv7511_zed_vivado.log 2>&1

View File

@ -55,7 +55,7 @@ clean-all:clean
make -C ../../../library/axi_hdmi_tx clean
cftl_cip_zed.xpr: lib $(M_DEPS)
cftl_cip_zed.xpr: $(M_DEPS)
rm -rf $(M_FLIST)
$(M_VIVADO) system_project.tcl >> cftl_cip_zed_vivado.log 2>&1

View File

@ -49,7 +49,7 @@ clean-all:clean
make -C ../../../library/axi_hdmi_tx clean
cftl_std_zed.xpr: lib $(M_DEPS)
cftl_std_zed.xpr: $(M_DEPS)
rm -rf $(M_FLIST)
$(M_VIVADO) system_project.tcl >> cftl_std_zed_vivado.log 2>&1

View File

@ -55,7 +55,7 @@ clean-all:clean
make -C ../../../library/axi_hdmi_tx clean
daq1_zc706.xpr: lib $(M_DEPS)
daq1_zc706.xpr: $(M_DEPS)
rm -rf $(M_FLIST)
$(M_VIVADO) system_project.tcl >> daq1_zc706_vivado.log 2>&1

View File

@ -56,7 +56,7 @@ clean-all:clean
make -C ../../../library/axi_ad9144 clean
daq2_kc705.xpr: lib $(M_DEPS)
daq2_kc705.xpr: $(M_DEPS)
rm -rf $(M_FLIST)
$(M_VIVADO) system_project.tcl >> daq2_kc705_vivado.log 2>&1

View File

@ -57,7 +57,7 @@ clean-all:clean
make -C ../../../library/axi_ad9144 clean
daq2_kcu105.xpr: lib $(M_DEPS)
daq2_kcu105.xpr: $(M_DEPS)
rm -rf $(M_FLIST)
$(M_VIVADO) system_project.tcl >> daq2_kcu105_vivado.log 2>&1

View File

@ -56,7 +56,7 @@ clean-all:clean
make -C ../../../library/axi_ad9144 clean
daq2_vc707.xpr: lib $(M_DEPS)
daq2_vc707.xpr: $(M_DEPS)
rm -rf $(M_FLIST)
$(M_VIVADO) system_project.tcl >> daq2_vc707_vivado.log 2>&1

View File

@ -60,7 +60,7 @@ clean-all:clean
make -C ../../../library/axi_hdmi_tx clean
daq2_zc706.xpr: lib $(M_DEPS)
daq2_zc706.xpr: $(M_DEPS)
rm -rf $(M_FLIST)
$(M_VIVADO) system_project.tcl >> daq2_zc706_vivado.log 2>&1

View File

@ -60,7 +60,7 @@ clean-all:clean
make -C ../../../library/axi_hdmi_tx clean
daq3_zc706.xpr: lib $(M_DEPS)
daq3_zc706.xpr: $(M_DEPS)
rm -rf $(M_FLIST)
$(M_VIVADO) system_project.tcl >> daq3_zc706_vivado.log 2>&1

View File

@ -52,7 +52,7 @@ clean-all:clean
make -C ../../../library/util_dacfifo clean
fmcadc2_vc707.xpr: lib $(M_DEPS)
fmcadc2_vc707.xpr: $(M_DEPS)
rm -rf $(M_FLIST)
$(M_VIVADO) system_project.tcl >> fmcadc2_vc707_vivado.log 2>&1

View File

@ -56,7 +56,7 @@ clean-all:clean
make -C ../../../library/axi_hdmi_tx clean
fmcadc2_zc706.xpr: lib $(M_DEPS)
fmcadc2_zc706.xpr: $(M_DEPS)
rm -rf $(M_FLIST)
$(M_VIVADO) system_project.tcl >> fmcadc2_zc706_vivado.log 2>&1

View File

@ -56,7 +56,7 @@ clean-all:clean
make -C ../../../library/axi_hdmi_tx clean
fmcadc4_zc706.xpr: lib $(M_DEPS)
fmcadc4_zc706.xpr: $(M_DEPS)
rm -rf $(M_FLIST)
$(M_VIVADO) system_project.tcl >> fmcadc4_zc706_vivado.log 2>&1

View File

@ -52,7 +52,7 @@ clean-all:clean
make -C ../../../library/util_dacfifo clean
fmcadc5_vc707.xpr: lib $(M_DEPS)
fmcadc5_vc707.xpr: $(M_DEPS)
rm -rf $(M_FLIST)
$(M_VIVADO) system_project.tcl >> fmcadc5_vc707_vivado.log 2>&1

View File

@ -47,7 +47,7 @@ clean-all:clean
make -C ../../../library/axi_ad9250 clean
fmcjesdadc1_kc705.xpr: lib $(M_DEPS)
fmcjesdadc1_kc705.xpr: $(M_DEPS)
rm -rf $(M_FLIST)
$(M_VIVADO) system_project.tcl >> fmcjesdadc1_kc705_vivado.log 2>&1

View File

@ -47,7 +47,7 @@ clean-all:clean
make -C ../../../library/axi_ad9250 clean
fmcjesdadc1_vc707.xpr: lib $(M_DEPS)
fmcjesdadc1_vc707.xpr: $(M_DEPS)
rm -rf $(M_FLIST)
$(M_VIVADO) system_project.tcl >> fmcjesdadc1_vc707_vivado.log 2>&1

View File

@ -53,7 +53,7 @@ clean-all:clean
make -C ../../../library/axi_hdmi_tx clean
fmcjesdadc1_zc706.xpr: lib $(M_DEPS)
fmcjesdadc1_zc706.xpr: $(M_DEPS)
rm -rf $(M_FLIST)
$(M_VIVADO) system_project.tcl >> fmcjesdadc1_zc706_vivado.log 2>&1

View File

@ -48,7 +48,7 @@ clean-all:clean
make -C ../../../library/axi_ad9643 clean
fmcomms1_ac701.xpr: lib $(M_DEPS)
fmcomms1_ac701.xpr: $(M_DEPS)
rm -rf $(M_FLIST)
$(M_VIVADO) system_project.tcl >> fmcomms1_ac701_vivado.log 2>&1

View File

@ -48,7 +48,7 @@ clean-all:clean
make -C ../../../library/axi_ad9643 clean
fmcomms1_kc705.xpr: lib $(M_DEPS)
fmcomms1_kc705.xpr: $(M_DEPS)
rm -rf $(M_FLIST)
$(M_VIVADO) system_project.tcl >> fmcomms1_kc705_vivado.log 2>&1

View File

@ -48,7 +48,7 @@ clean-all:clean
make -C ../../../library/axi_ad9643 clean
fmcomms1_vc707.xpr: lib $(M_DEPS)
fmcomms1_vc707.xpr: $(M_DEPS)
rm -rf $(M_FLIST)
$(M_VIVADO) system_project.tcl >> fmcomms1_vc707_vivado.log 2>&1

View File

@ -54,7 +54,7 @@ clean-all:clean
make -C ../../../library/axi_hdmi_tx clean
fmcomms1_zc702.xpr: lib $(M_DEPS)
fmcomms1_zc702.xpr: $(M_DEPS)
rm -rf $(M_FLIST)
$(M_VIVADO) system_project.tcl >> fmcomms1_zc702_vivado.log 2>&1

View File

@ -54,7 +54,7 @@ clean-all:clean
make -C ../../../library/axi_hdmi_tx clean
fmcomms1_zc706.xpr: lib $(M_DEPS)
fmcomms1_zc706.xpr: $(M_DEPS)
rm -rf $(M_FLIST)
$(M_VIVADO) system_project.tcl >> fmcomms1_zc706_vivado.log 2>&1

View File

@ -58,7 +58,7 @@ clean-all:clean
make -C ../../../library/axi_hdmi_tx clean
fmcomms1_zed.xpr: lib $(M_DEPS)
fmcomms1_zed.xpr: $(M_DEPS)
rm -rf $(M_FLIST)
$(M_VIVADO) system_project.tcl >> fmcomms1_zed_vivado.log 2>&1

View File

@ -15,6 +15,7 @@ all:
-make -C vc707 all
-make -C zc702 all
-make -C zc706 all
-make -C zc706pr all
-make -C zed all
@ -27,6 +28,7 @@ clean:
make -C vc707 clean
make -C zc702 clean
make -C zc706 clean
make -C zc706pr clean
make -C zed clean
@ -39,6 +41,7 @@ clean-all:
make -C vc707 clean-all
make -C zc702 clean-all
make -C zc706 clean-all
make -C zc706pr clean-all
make -C zed clean-all
####################################################################################

View File

@ -50,7 +50,7 @@ clean-all:clean
make -C ../../../library/axi_ad9361 clean
fmcomms2_ac701.xpr: lib $(M_DEPS)
fmcomms2_ac701.xpr: $(M_DEPS)
rm -rf $(M_FLIST)
$(M_VIVADO) system_project.tcl >> fmcomms2_ac701_vivado.log 2>&1

View File

@ -50,7 +50,7 @@ clean-all:clean
make -C ../../../library/axi_ad9361 clean
fmcomms2_kc705.xpr: lib $(M_DEPS)
fmcomms2_kc705.xpr: $(M_DEPS)
rm -rf $(M_FLIST)
$(M_VIVADO) system_project.tcl >> fmcomms2_kc705_vivado.log 2>&1

View File

@ -13,6 +13,7 @@ M_DEPS += system_bd.tcl
M_DEPS += ../../common/mitx045/mitx045_system_bd.tcl
M_DEPS += ../../common/xilinx/sys_wfifo.tcl
M_DEPS += ../common/fmcomms2_bd.tcl
M_DEPS += ../../common/mitx045/mitx045_system_ps7.tcl
M_DEPS += ../../../library/util_dac_unpack/util_dac_unpack.xpr
M_DEPS += ../../../library/util_adc_pack/util_adc_pack.xpr
M_DEPS += ../../../library/util_wfifo/util_wfifo.xpr
@ -58,7 +59,7 @@ clean-all:clean
make -C ../../../library/axi_hdmi_tx clean
fmcomms2_mitx045.xpr: lib $(M_DEPS)
fmcomms2_mitx045.xpr: $(M_DEPS)
rm -rf $(M_FLIST)
$(M_VIVADO) system_project.tcl >> fmcomms2_mitx045_vivado.log 2>&1

View File

@ -59,7 +59,7 @@ clean-all:clean
make -C ../../../library/axi_hdmi_tx clean
fmcomms2_rfsom.xpr: lib $(M_DEPS)
fmcomms2_rfsom.xpr: $(M_DEPS)
rm -rf $(M_FLIST)
$(M_VIVADO) system_project.tcl >> fmcomms2_rfsom_vivado.log 2>&1

View File

@ -50,7 +50,7 @@ clean-all:clean
make -C ../../../library/axi_ad9361 clean
fmcomms2_vc707.xpr: lib $(M_DEPS)
fmcomms2_vc707.xpr: $(M_DEPS)
rm -rf $(M_FLIST)
$(M_VIVADO) system_project.tcl >> fmcomms2_vc707_vivado.log 2>&1

View File

@ -56,7 +56,7 @@ clean-all:clean
make -C ../../../library/axi_hdmi_tx clean
fmcomms2_zc702.xpr: lib $(M_DEPS)
fmcomms2_zc702.xpr: $(M_DEPS)
rm -rf $(M_FLIST)
$(M_VIVADO) system_project.tcl >> fmcomms2_zc702_vivado.log 2>&1

View File

@ -13,6 +13,7 @@ M_DEPS += system_bd.tcl
M_DEPS += ../../common/zc706/zc706_system_bd.tcl
M_DEPS += ../../common/xilinx/sys_wfifo.tcl
M_DEPS += ../common/fmcomms2_bd.tcl
M_DEPS += ../common/prcfg_bd.tcl
M_DEPS += ../../../library/util_dac_unpack/util_dac_unpack.xpr
M_DEPS += ../../../library/util_adc_pack/util_adc_pack.xpr
M_DEPS += ../../../library/util_wfifo/util_wfifo.xpr
@ -56,7 +57,7 @@ clean-all:clean
make -C ../../../library/axi_hdmi_tx clean
fmcomms2_zc706.xpr: lib $(M_DEPS)
fmcomms2_zc706.xpr: $(M_DEPS)
rm -rf $(M_FLIST)
$(M_VIVADO) system_project.tcl >> fmcomms2_zc706_vivado.log 2>&1

View File

@ -15,14 +15,14 @@ M_DEPS += ../../common/zc706/zc706_system_bd.tcl
M_DEPS += ../../common/xilinx/sys_wfifo.tcl
M_DEPS += ../common/fmcomms2_bd.tcl
M_DEPS += ../common/prcfg_bd.tcl
M_DEPS += ../../../library/axi_dmac/axi_dmac.xpr
M_DEPS += ../../../library/util_dac_unpack/util_dac_unpack.xpr
M_DEPS += ../../../library/axi_ad9361/axi_ad9361.xpr
M_DEPS += ../../../library/util_wfifo/util_wfifo.xpr
M_DEPS += ../../../library/axi_hdmi_tx/axi_hdmi_tx.xpr
M_DEPS += ../../../library/axi_clkgen/axi_clkgen.xpr
M_DEPS += ../../../library/util_adc_pack/util_adc_pack.xpr
M_DEPS += ../../../library/util_wfifo/util_wfifo.xpr
M_DEPS += ../../../library/axi_dmac/axi_dmac.xpr
M_DEPS += ../../../library/axi_spdif_tx/axi_spdif_tx.xpr
M_DEPS += ../../../library/axi_ad9361/axi_ad9361.xpr
M_DEPS += ../../../library/axi_clkgen/axi_clkgen.xpr
M_DEPS += ../../../library/axi_hdmi_tx/axi_hdmi_tx.xpr
M_VIVADO := vivado -mode batch -source
@ -48,30 +48,30 @@ clean:
clean-all:clean
make -C ../../../library/axi_dmac clean
make -C ../../../library/util_dac_unpack clean
make -C ../../../library/axi_ad9361 clean
make -C ../../../library/util_wfifo clean
make -C ../../../library/axi_hdmi_tx clean
make -C ../../../library/axi_clkgen clean
make -C ../../../library/util_adc_pack clean
make -C ../../../library/util_wfifo clean
make -C ../../../library/axi_dmac clean
make -C ../../../library/axi_spdif_tx clean
make -C ../../../library/axi_ad9361 clean
make -C ../../../library/axi_clkgen clean
make -C ../../../library/axi_hdmi_tx clean
fmcomms2_zc706pr.xpr: lib $(M_DEPS)
fmcomms2_zc706pr.xpr: $(M_DEPS)
rm -rf $(M_FLIST)
$(M_VIVADO) system_project.tcl >> fmcomms2_zc706pr_vivado.log 2>&1
lib:
make -C ../../../library/axi_dmac
make -C ../../../library/util_dac_unpack
make -C ../../../library/axi_ad9361
make -C ../../../library/util_wfifo
make -C ../../../library/axi_hdmi_tx
make -C ../../../library/axi_clkgen
make -C ../../../library/util_adc_pack
make -C ../../../library/util_wfifo
make -C ../../../library/axi_dmac
make -C ../../../library/axi_spdif_tx
make -C ../../../library/axi_ad9361
make -C ../../../library/axi_clkgen
make -C ../../../library/axi_hdmi_tx
####################################################################################
####################################################################################

View File

@ -60,7 +60,7 @@ clean-all:clean
make -C ../../../library/axi_hdmi_tx clean
fmcomms2_zed.xpr: lib $(M_DEPS)
fmcomms2_zed.xpr: $(M_DEPS)
rm -rf $(M_FLIST)
$(M_VIVADO) system_project.tcl >> fmcomms2_zed_vivado.log 2>&1

View File

@ -14,6 +14,7 @@ M_DEPS += ../../common/mitx045/mitx045_system_bd.tcl
M_DEPS += ../../common/xilinx/sys_wfifo.tcl
M_DEPS += ../../fmcomms2/common/fmcomms2_bd.tcl
M_DEPS += ../../fmcomms2_pr/common/prcfg_setup.tcl
M_DEPS += ../../common/mitx045/mitx045_system_ps7.tcl
M_DEPS += ../../../library/util_dac_unpack/util_dac_unpack.xpr
M_DEPS += ../../../library/util_adc_pack/util_adc_pack.xpr
M_DEPS += ../../../library/util_wfifo/util_wfifo.xpr
@ -59,7 +60,7 @@ clean-all:clean
make -C ../../../library/axi_hdmi_tx clean
fmcomms2_pr_mitx045.xpr: lib $(M_DEPS)
fmcomms2_pr_mitx045.xpr: $(M_DEPS)
rm -rf $(M_FLIST)
$(M_VIVADO) system_project.tcl >> fmcomms2_pr_mitx045_vivado.log 2>&1

View File

@ -57,7 +57,7 @@ clean-all:clean
make -C ../../../library/axi_hdmi_tx clean
fmcomms2_pr_zc706.xpr: lib $(M_DEPS)
fmcomms2_pr_zc706.xpr: $(M_DEPS)
rm -rf $(M_FLIST)
$(M_VIVADO) system_project.tcl >> fmcomms2_pr_zc706_vivado.log 2>&1

View File

@ -53,7 +53,7 @@ clean-all:clean
make -C ../../../library/axi_hdmi_tx clean
fmcomms5_zc702.xpr: lib $(M_DEPS)
fmcomms5_zc702.xpr: $(M_DEPS)
rm -rf $(M_FLIST)
$(M_VIVADO) system_project.tcl >> fmcomms5_zc702_vivado.log 2>&1

View File

@ -53,7 +53,7 @@ clean-all:clean
make -C ../../../library/axi_hdmi_tx clean
fmcomms5_zc706.xpr: lib $(M_DEPS)
fmcomms5_zc706.xpr: $(M_DEPS)
rm -rf $(M_FLIST)
$(M_VIVADO) system_project.tcl >> fmcomms5_zc706_vivado.log 2>&1

View File

@ -52,7 +52,7 @@ clean-all:clean
make -C ../../../library/axi_hdmi_tx clean
fmcomms6_zc706.xpr: lib $(M_DEPS)
fmcomms6_zc706.xpr: $(M_DEPS)
rm -rf $(M_FLIST)
$(M_VIVADO) system_project.tcl >> fmcomms6_zc706_vivado.log 2>&1

View File

@ -60,7 +60,7 @@ clean-all:clean
make -C ../../../library/axi_hdmi_tx clean
fmcomms7_zc706.xpr: lib $(M_DEPS)
fmcomms7_zc706.xpr: $(M_DEPS)
rm -rf $(M_FLIST)
$(M_VIVADO) system_project.tcl >> fmcomms7_zc706_vivado.log 2>&1

View File

@ -49,7 +49,7 @@ clean-all:clean
make -C ../../../library/axi_hdmi_tx clean
imageon_zc706.xpr: lib $(M_DEPS)
imageon_zc706.xpr: $(M_DEPS)
rm -rf $(M_FLIST)
$(M_VIVADO) system_project.tcl >> imageon_zc706_vivado.log 2>&1

View File

@ -53,7 +53,7 @@ clean-all:clean
make -C ../../../library/axi_hdmi_tx clean
imageon_zed.xpr: lib $(M_DEPS)
imageon_zed.xpr: $(M_DEPS)
rm -rf $(M_FLIST)
$(M_VIVADO) system_project.tcl >> imageon_zed_vivado.log 2>&1

View File

@ -49,7 +49,7 @@ clean-all:clean
make -C ../../../library/axi_hdmi_tx clean
imageon_loopback_zed.xpr: lib $(M_DEPS)
imageon_loopback_zed.xpr: $(M_DEPS)
rm -rf $(M_FLIST)
$(M_VIVADO) system_project.tcl >> imageon_loopback_zed_vivado.log 2>&1

View File

@ -60,7 +60,7 @@ clean-all:clean
make -C ../../../library/axi_hdmi_tx clean
motcon1_fmc_zed.xpr: lib $(M_DEPS)
motcon1_fmc_zed.xpr: $(M_DEPS)
rm -rf $(M_FLIST)
$(M_VIVADO) system_project.tcl >> motcon1_fmc_zed_vivado.log 2>&1

View File

@ -63,7 +63,7 @@ clean-all:clean
make -C ../../../library/axi_hdmi_tx clean
motcon2_fmc_zed.xpr: lib $(M_DEPS)
motcon2_fmc_zed.xpr: $(M_DEPS)
rm -rf $(M_FLIST)
$(M_VIVADO) system_project.tcl >> motcon2_fmc_zed_vivado.log 2>&1

View File

@ -53,7 +53,7 @@ clean-all:clean
make -C ../../../library/axi_hdmi_tx clean
usdrx1_zc706.xpr: lib $(M_DEPS)
usdrx1_zc706.xpr: $(M_DEPS)
rm -rf $(M_FLIST)
$(M_VIVADO) system_project.tcl >> usdrx1_zc706_vivado.log 2>&1