diff --git a/library/xilinx/common/ad_iobuf.v b/library/common/ad_iobuf.v similarity index 100% rename from library/xilinx/common/ad_iobuf.v rename to library/common/ad_iobuf.v diff --git a/projects/ad40xx_fmc/zed/Makefile b/projects/ad40xx_fmc/zed/Makefile index 596f5f58d..749162bdb 100644 --- a/projects/ad40xx_fmc/zed/Makefile +++ b/projects/ad40xx_fmc/zed/Makefile @@ -10,7 +10,7 @@ M_DEPS += system_constr_ad40xx.xdc M_DEPS += ../common/ad40xx_bd.tcl M_DEPS += ../../common/zed/zed_system_constr.xdc M_DEPS += ../../common/zed/zed_system_bd.tcl -M_DEPS += ../../../library/xilinx/common/ad_iobuf.v +M_DEPS += ../../../library/common/ad_iobuf.v LIB_DEPS += axi_clkgen LIB_DEPS += axi_dmac diff --git a/projects/ad40xx_fmc/zed/system_project.tcl b/projects/ad40xx_fmc/zed/system_project.tcl index 92ec5d29a..9705e3432 100644 --- a/projects/ad40xx_fmc/zed/system_project.tcl +++ b/projects/ad40xx_fmc/zed/system_project.tcl @@ -25,13 +25,13 @@ adi_project ad40xx_fmc_zed if {$ad40xx_adaq400x_n == 1} { adi_project_files ad40xx_fmc_zed [list \ - "$ad_hdl_dir/library/xilinx/common/ad_iobuf.v" \ + "$ad_hdl_dir/library/common/ad_iobuf.v" \ "system_top_ad40xx.v" \ "system_constr_ad40xx.xdc" \ "$ad_hdl_dir/projects/common/zed/zed_system_constr.xdc"] } elseif {$ad40xx_adaq400x_n == 0} { adi_project_files ad40xx_fmc_zed [list \ - "$ad_hdl_dir/library/xilinx/common/ad_iobuf.v" \ + "$ad_hdl_dir/library/common/ad_iobuf.v" \ "system_top_adaq400x.v" \ "system_constr_adaq400x.xdc" \ "$ad_hdl_dir/projects/common/zed/zed_system_constr.xdc"] diff --git a/projects/ad469x_fmc/zed/Makefile b/projects/ad469x_fmc/zed/Makefile index 374a221f4..94aa627d1 100644 --- a/projects/ad469x_fmc/zed/Makefile +++ b/projects/ad469x_fmc/zed/Makefile @@ -5,17 +5,20 @@ PROJECT_NAME := ad469x_fmc_zed +M_DEPS += ../common/config.tcl M_DEPS += ../common/ad469x_bd.tcl M_DEPS += ../../common/zed/zed_system_constr.xdc M_DEPS += ../../common/zed/zed_system_bd.tcl -M_DEPS += ../../../library/xilinx/common/ad_iobuf.v -M_DEPS += ../../../library/common/ad_edge_detect.v M_DEPS += ../../../library/util_cdc/sync_bits.v +M_DEPS += ../../../library/spi_engine/scripts/spi_engine.tcl +M_DEPS += ../../../library/common/ad_iobuf.v +M_DEPS += ../../../library/common/ad_edge_detect.v LIB_DEPS += axi_clkgen LIB_DEPS += axi_dmac LIB_DEPS += axi_hdmi_tx LIB_DEPS += axi_i2s_adi +LIB_DEPS += axi_pulse_gen LIB_DEPS += axi_spdif_tx LIB_DEPS += axi_sysid LIB_DEPS += spi_engine/axi_spi_engine @@ -24,6 +27,5 @@ LIB_DEPS += spi_engine/spi_engine_interconnect LIB_DEPS += spi_engine/spi_engine_offload LIB_DEPS += sysid_rom LIB_DEPS += util_i2c_mixer -LIB_DEPS += axi_pulse_gen include ../../scripts/project-xilinx.mk diff --git a/projects/ad469x_fmc/zed/system_project.tcl b/projects/ad469x_fmc/zed/system_project.tcl index 887e0fcf3..34775f0fb 100644 --- a/projects/ad469x_fmc/zed/system_project.tcl +++ b/projects/ad469x_fmc/zed/system_project.tcl @@ -13,7 +13,7 @@ adi_project ad469x_fmc_zed 0 [list \ ] adi_project_files ad469x_fmc_zed [list \ - "../../../library/xilinx/common/ad_iobuf.v" \ + "../../../library/common/ad_iobuf.v" \ "../../../library/common/ad_edge_detect.v" \ "../../../library/util_cdc/sync_bits.v" \ "../../common/zed/zed_system_constr.xdc" \ diff --git a/projects/ad5758_sdz/zed/Makefile b/projects/ad5758_sdz/zed/Makefile index 60a2ba402..2eea446b0 100644 --- a/projects/ad5758_sdz/zed/Makefile +++ b/projects/ad5758_sdz/zed/Makefile @@ -7,7 +7,7 @@ PROJECT_NAME := ad5758_sdz_zed M_DEPS += ../../common/zed/zed_system_constr.xdc M_DEPS += ../../common/zed/zed_system_bd.tcl -M_DEPS += ../../../library/xilinx/common/ad_iobuf.v +M_DEPS += ../../../library/common/ad_iobuf.v LIB_DEPS += axi_clkgen LIB_DEPS += axi_dmac diff --git a/projects/ad5758_sdz/zed/system_project.tcl b/projects/ad5758_sdz/zed/system_project.tcl index 38a7d95df..4aecfa595 100644 --- a/projects/ad5758_sdz/zed/system_project.tcl +++ b/projects/ad5758_sdz/zed/system_project.tcl @@ -6,7 +6,7 @@ source $ad_hdl_dir/projects/scripts/adi_board.tcl adi_project ad5758_sdz_zed adi_project_files ad5758_sdz_zed [list \ - "$ad_hdl_dir/library/xilinx/common/ad_iobuf.v" \ + "$ad_hdl_dir/library/common/ad_iobuf.v" \ "system_top.v" \ "system_constr.xdc" \ "$ad_hdl_dir/projects/common/zed/zed_system_constr.xdc"] diff --git a/projects/ad5766_sdz/zed/Makefile b/projects/ad5766_sdz/zed/Makefile index 51f4e98e0..1b4e4ba51 100644 --- a/projects/ad5766_sdz/zed/Makefile +++ b/projects/ad5766_sdz/zed/Makefile @@ -8,7 +8,7 @@ PROJECT_NAME := ad5766_sdz_zed M_DEPS += ../common/ad5766_bd.tcl M_DEPS += ../../common/zed/zed_system_constr.xdc M_DEPS += ../../common/zed/zed_system_bd.tcl -M_DEPS += ../../../library/xilinx/common/ad_iobuf.v +M_DEPS += ../../../library/common/ad_iobuf.v LIB_DEPS += axi_ad5766 LIB_DEPS += axi_clkgen diff --git a/projects/ad5766_sdz/zed/system_project.tcl b/projects/ad5766_sdz/zed/system_project.tcl index 871e8c10c..6e983c542 100644 --- a/projects/ad5766_sdz/zed/system_project.tcl +++ b/projects/ad5766_sdz/zed/system_project.tcl @@ -6,7 +6,7 @@ source $ad_hdl_dir/projects/scripts/adi_board.tcl adi_project ad5766_sdz_zed adi_project_files ad5766_sdz_zed [list \ - "$ad_hdl_dir/library/xilinx/common/ad_iobuf.v" \ + "$ad_hdl_dir/library/common/ad_iobuf.v" \ "system_top.v" \ "system_constr.xdc" \ "$ad_hdl_dir/projects/common/zed/zed_system_constr.xdc"] diff --git a/projects/ad6676evb/vc707/Makefile b/projects/ad6676evb/vc707/Makefile index fa528688a..b9ea0d984 100644 --- a/projects/ad6676evb/vc707/Makefile +++ b/projects/ad6676evb/vc707/Makefile @@ -9,9 +9,9 @@ M_DEPS += ../common/ad6676evb_bd.tcl M_DEPS += ../../common/vc707/vc707_system_mig.prj M_DEPS += ../../common/vc707/vc707_system_constr.xdc M_DEPS += ../../common/vc707/vc707_system_bd.tcl -M_DEPS += ../../../library/xilinx/common/ad_iobuf.v M_DEPS += ../../../library/jesd204/scripts/jesd204.tcl M_DEPS += ../../../library/common/ad_sysref_gen.v +M_DEPS += ../../../library/common/ad_iobuf.v LIB_DEPS += axi_ad6676 LIB_DEPS += axi_dmac diff --git a/projects/ad6676evb/vc707/system_project.tcl b/projects/ad6676evb/vc707/system_project.tcl index b45496052..5496a3530 100644 --- a/projects/ad6676evb/vc707/system_project.tcl +++ b/projects/ad6676evb/vc707/system_project.tcl @@ -9,7 +9,7 @@ adi_project ad6676evb_vc707 adi_project_files ad6676evb_vc707 [list \ "system_top.v" \ "system_constr.xdc"\ - "$ad_hdl_dir/library/xilinx/common/ad_iobuf.v" \ + "$ad_hdl_dir/library/common/ad_iobuf.v" \ "$ad_hdl_dir/library/common/ad_sysref_gen.v" \ "$ad_hdl_dir/projects/common/vc707/vc707_system_constr.xdc" ] diff --git a/projects/ad6676evb/zc706/Makefile b/projects/ad6676evb/zc706/Makefile index 4f5559c09..17efb8cad 100644 --- a/projects/ad6676evb/zc706/Makefile +++ b/projects/ad6676evb/zc706/Makefile @@ -8,9 +8,9 @@ PROJECT_NAME := ad6676evb_zc706 M_DEPS += ../common/ad6676evb_bd.tcl M_DEPS += ../../common/zc706/zc706_system_constr.xdc M_DEPS += ../../common/zc706/zc706_system_bd.tcl -M_DEPS += ../../../library/xilinx/common/ad_iobuf.v M_DEPS += ../../../library/jesd204/scripts/jesd204.tcl M_DEPS += ../../../library/common/ad_sysref_gen.v +M_DEPS += ../../../library/common/ad_iobuf.v LIB_DEPS += axi_ad6676 LIB_DEPS += axi_clkgen diff --git a/projects/ad6676evb/zc706/system_project.tcl b/projects/ad6676evb/zc706/system_project.tcl index 1af9a8cb0..168a0170f 100644 --- a/projects/ad6676evb/zc706/system_project.tcl +++ b/projects/ad6676evb/zc706/system_project.tcl @@ -9,7 +9,7 @@ adi_project ad6676evb_zc706 adi_project_files ad6676evb_zc706 [list \ "system_top.v" \ "system_constr.xdc"\ - "$ad_hdl_dir/library/xilinx/common/ad_iobuf.v" \ + "$ad_hdl_dir/library/common/ad_iobuf.v" \ "$ad_hdl_dir/library/common/ad_sysref_gen.v" \ "$ad_hdl_dir/projects/common/zc706/zc706_system_constr.xdc" ] diff --git a/projects/ad7134_fmc/zed/Makefile b/projects/ad7134_fmc/zed/Makefile index e81df968f..0f7b7ad84 100644 --- a/projects/ad7134_fmc/zed/Makefile +++ b/projects/ad7134_fmc/zed/Makefile @@ -8,7 +8,7 @@ PROJECT_NAME := ad7134_fmc_zed M_DEPS += ../common/ad7134_bd.tcl M_DEPS += ../../common/zed/zed_system_constr.xdc M_DEPS += ../../common/zed/zed_system_bd.tcl -M_DEPS += ../../../library/xilinx/common/ad_iobuf.v +M_DEPS += ../../../library/common/ad_iobuf.v LIB_DEPS += axi_clkgen LIB_DEPS += axi_dmac diff --git a/projects/ad7134_fmc/zed/system_project.tcl b/projects/ad7134_fmc/zed/system_project.tcl index f4fef589c..ff6e605f9 100644 --- a/projects/ad7134_fmc/zed/system_project.tcl +++ b/projects/ad7134_fmc/zed/system_project.tcl @@ -6,7 +6,7 @@ source $ad_hdl_dir/projects/scripts/adi_board.tcl adi_project ad7134_fmc_zed adi_project_files ad7134_fmc_zed [list \ - "$ad_hdl_dir/library/xilinx/common/ad_iobuf.v" \ + "$ad_hdl_dir/library/common/ad_iobuf.v" \ "system_top.v" \ "system_constr.xdc" \ "$ad_hdl_dir/projects/common/zed/zed_system_constr.xdc"] diff --git a/projects/ad738x_fmc/zed/Makefile b/projects/ad738x_fmc/zed/Makefile index 01f3244d1..8847a816e 100644 --- a/projects/ad738x_fmc/zed/Makefile +++ b/projects/ad738x_fmc/zed/Makefile @@ -8,7 +8,7 @@ PROJECT_NAME := ad738x_fmc_zed M_DEPS += ../common/ad738x_bd.tcl M_DEPS += ../../common/zed/zed_system_constr.xdc M_DEPS += ../../common/zed/zed_system_bd.tcl -M_DEPS += ../../../library/xilinx/common/ad_iobuf.v +M_DEPS += ../../../library/common/ad_iobuf.v LIB_DEPS += axi_clkgen LIB_DEPS += axi_dmac diff --git a/projects/ad738x_fmc/zed/system_project.tcl b/projects/ad738x_fmc/zed/system_project.tcl index 3900cddbb..2902c7832 100644 --- a/projects/ad738x_fmc/zed/system_project.tcl +++ b/projects/ad738x_fmc/zed/system_project.tcl @@ -6,7 +6,7 @@ source $ad_hdl_dir/projects/scripts/adi_board.tcl adi_project ad738x_fmc_zed adi_project_files ad738x_fmc_zed [list \ - "$ad_hdl_dir/library/xilinx/common/ad_iobuf.v" \ + "$ad_hdl_dir/library/common/ad_iobuf.v" \ "system_top.v" \ "system_constr.xdc" \ "$ad_hdl_dir/projects/common/zed/zed_system_constr.xdc"] diff --git a/projects/ad7405_fmc/zed/Makefile b/projects/ad7405_fmc/zed/Makefile index 50e22fa2a..eca46d0b8 100644 --- a/projects/ad7405_fmc/zed/Makefile +++ b/projects/ad7405_fmc/zed/Makefile @@ -10,7 +10,7 @@ M_DEPS += system_constr_differential.xdc M_DEPS += ../common/ad7405_bd.tcl M_DEPS += ../../common/zed/zed_system_constr.xdc M_DEPS += ../../common/zed/zed_system_bd.tcl -M_DEPS += ../../../library/xilinx/common/ad_iobuf.v +M_DEPS += ../../../library/common/ad_iobuf.v LIB_DEPS += axi_clkgen LIB_DEPS += axi_dmac diff --git a/projects/ad7405_fmc/zed/system_project.tcl b/projects/ad7405_fmc/zed/system_project.tcl index bce7ff6e6..4f552fca9 100644 --- a/projects/ad7405_fmc/zed/system_project.tcl +++ b/projects/ad7405_fmc/zed/system_project.tcl @@ -24,7 +24,7 @@ adi_project ad7405_fmc_zed if { $adc_port_type == 0 } { adi_project_files ad7405_fmc_zed [list \ - "$ad_hdl_dir/library/xilinx/common/ad_iobuf.v" \ + "$ad_hdl_dir/library/common/ad_iobuf.v" \ "system_top_singlended.v" \ "system_constr_singlended.xdc" \ "$ad_hdl_dir/projects/common/zed/zed_system_constr.xdc"] @@ -32,7 +32,7 @@ if { $adc_port_type == 0 } { } elseif { $adc_port_type == 1 } { adi_project_files ad7405_fmc_zed [list \ - "$ad_hdl_dir/library/xilinx/common/ad_iobuf.v" \ + "$ad_hdl_dir/library/common/ad_iobuf.v" \ "system_top_differential.v" \ "system_constr_differential.xdc" \ "$ad_hdl_dir/projects/common/zed/zed_system_constr.xdc"] diff --git a/projects/ad7616_sdz/zc706/Makefile b/projects/ad7616_sdz/zc706/Makefile index 9888d1f70..faea1320d 100644 --- a/projects/ad7616_sdz/zc706/Makefile +++ b/projects/ad7616_sdz/zc706/Makefile @@ -10,7 +10,7 @@ M_DEPS += parallel_if_constr.xdc M_DEPS += ../common/ad7616_bd.tcl M_DEPS += ../../common/zc706/zc706_system_constr.xdc M_DEPS += ../../common/zc706/zc706_system_bd.tcl -M_DEPS += ../../../library/xilinx/common/ad_iobuf.v +M_DEPS += ../../../library/common/ad_iobuf.v LIB_DEPS += axi_ad7616 LIB_DEPS += axi_clkgen diff --git a/projects/ad7616_sdz/zc706/system_project.tcl b/projects/ad7616_sdz/zc706/system_project.tcl index cd6c98053..e548e4ed8 100644 --- a/projects/ad7616_sdz/zc706/system_project.tcl +++ b/projects/ad7616_sdz/zc706/system_project.tcl @@ -23,7 +23,7 @@ adi_project ad7616_sdz_zc706 if { $ad7616_if == 0 } { adi_project_files ad7616_sdz_zc706 [list \ - "$ad_hdl_dir/library/xilinx/common/ad_iobuf.v" \ + "$ad_hdl_dir/library/common/ad_iobuf.v" \ "system_top_si.v" \ "serial_if_constr.xdc" \ "$ad_hdl_dir/projects/common/zc706/zc706_system_constr.xdc"] @@ -31,7 +31,7 @@ if { $ad7616_if == 0 } { } elseif { $ad7616_if == 1 } { adi_project_files ad7616_sdz_zc706 [list \ - "$ad_hdl_dir/library/xilinx/common/ad_iobuf.v" \ + "$ad_hdl_dir/library/common/ad_iobuf.v" \ "system_top_pi.v" \ "parallel_if_constr.xdc" \ "$ad_hdl_dir/projects/common/zc706/zc706_system_constr.xdc"] diff --git a/projects/ad7616_sdz/zed/Makefile b/projects/ad7616_sdz/zed/Makefile index 1cfe07e84..2e70ba113 100644 --- a/projects/ad7616_sdz/zed/Makefile +++ b/projects/ad7616_sdz/zed/Makefile @@ -10,7 +10,7 @@ M_DEPS += parallel_if_constr.xdc M_DEPS += ../common/ad7616_bd.tcl M_DEPS += ../../common/zed/zed_system_constr.xdc M_DEPS += ../../common/zed/zed_system_bd.tcl -M_DEPS += ../../../library/xilinx/common/ad_iobuf.v +M_DEPS += ../../../library/common/ad_iobuf.v LIB_DEPS += axi_ad7616 LIB_DEPS += axi_clkgen diff --git a/projects/ad7616_sdz/zed/system_project.tcl b/projects/ad7616_sdz/zed/system_project.tcl index 47870c067..db124d690 100644 --- a/projects/ad7616_sdz/zed/system_project.tcl +++ b/projects/ad7616_sdz/zed/system_project.tcl @@ -23,7 +23,7 @@ adi_project ad7616_sdz_zed if { $ad7616_if == 0 } { adi_project_files ad7616_sdz_zed [list \ - "$ad_hdl_dir/library/xilinx/common/ad_iobuf.v" \ + "$ad_hdl_dir/library/common/ad_iobuf.v" \ "system_top_si.v" \ "serial_if_constr.xdc" \ "$ad_hdl_dir/projects/common/zed/zed_system_constr.xdc"] @@ -31,7 +31,7 @@ if { $ad7616_if == 0 } { } elseif { $ad7616_if == 1 } { adi_project_files ad7616_sdz_zed [list \ - "$ad_hdl_dir/library/xilinx/common/ad_iobuf.v" \ + "$ad_hdl_dir/library/common/ad_iobuf.v" \ "system_top_pi.v" \ "parallel_if_constr.xdc" \ "$ad_hdl_dir/projects/common/zed/zed_system_constr.xdc"] diff --git a/projects/ad77681evb/zed/Makefile b/projects/ad77681evb/zed/Makefile index bc0d80a8a..c25c87f85 100644 --- a/projects/ad77681evb/zed/Makefile +++ b/projects/ad77681evb/zed/Makefile @@ -8,8 +8,8 @@ PROJECT_NAME := ad77681evb_zed M_DEPS += ../common/ad77681evb_bd.tcl M_DEPS += ../../common/zed/zed_system_constr.xdc M_DEPS += ../../common/zed/zed_system_bd.tcl -M_DEPS += ../../../library/xilinx/common/ad_iobuf.v M_DEPS += ../../../library/xilinx/common/ad_data_clk.v +M_DEPS += ../../../library/common/ad_iobuf.v LIB_DEPS += axi_clkgen LIB_DEPS += axi_dmac diff --git a/projects/ad77681evb/zed/system_project.tcl b/projects/ad77681evb/zed/system_project.tcl index e5434259a..01485b19a 100644 --- a/projects/ad77681evb/zed/system_project.tcl +++ b/projects/ad77681evb/zed/system_project.tcl @@ -6,7 +6,7 @@ source $ad_hdl_dir/projects/scripts/adi_board.tcl adi_project ad77681evb_zed adi_project_files ad77681evb_zed [list \ - "$ad_hdl_dir/library/xilinx/common/ad_iobuf.v" \ + "$ad_hdl_dir/library/common/ad_iobuf.v" \ "$ad_hdl_dir/library/xilinx/common/ad_data_clk.v" \ "system_top.v" \ "system_constr.xdc" \ diff --git a/projects/ad7768evb/zed/Makefile b/projects/ad7768evb/zed/Makefile index 928ae146f..cc4f6700b 100644 --- a/projects/ad7768evb/zed/Makefile +++ b/projects/ad7768evb/zed/Makefile @@ -9,7 +9,7 @@ M_DEPS += ../common/ad7768evb_bd.tcl M_DEPS += ../common/ad7768_if.v M_DEPS += ../../common/zed/zed_system_constr.xdc M_DEPS += ../../common/zed/zed_system_bd.tcl -M_DEPS += ../../../library/xilinx/common/ad_iobuf.v +M_DEPS += ../../../library/common/ad_iobuf.v LIB_DEPS += axi_clkgen LIB_DEPS += axi_dmac diff --git a/projects/ad7768evb/zed/system_project.tcl b/projects/ad7768evb/zed/system_project.tcl index 420890798..af5b4ca20 100644 --- a/projects/ad7768evb/zed/system_project.tcl +++ b/projects/ad7768evb/zed/system_project.tcl @@ -9,7 +9,7 @@ adi_project_files ad7768evb_zed [list \ "system_top.v" \ "system_constr.xdc" \ "$ad_hdl_dir/projects/common/zed/zed_system_constr.xdc" \ - "$ad_hdl_dir/library/xilinx/common/ad_iobuf.v" ] + "$ad_hdl_dir/library/common/ad_iobuf.v" ] adi_project_run ad7768evb_zed diff --git a/projects/ad9081_fmca_ebz/vcu118/Makefile b/projects/ad9081_fmca_ebz/vcu118/Makefile index 5e50771b1..ff14ed445 100644 --- a/projects/ad9081_fmca_ebz/vcu118/Makefile +++ b/projects/ad9081_fmca_ebz/vcu118/Makefile @@ -11,8 +11,8 @@ M_DEPS += ../../common/xilinx/dacfifo_bd.tcl M_DEPS += ../../common/xilinx/adcfifo_bd.tcl M_DEPS += ../../common/vcu118/vcu118_system_constr.xdc M_DEPS += ../../common/vcu118/vcu118_system_bd.tcl -M_DEPS += ../../../library/xilinx/common/ad_iobuf.v M_DEPS += ../../../library/jesd204/scripts/jesd204.tcl +M_DEPS += ../../../library/common/ad_iobuf.v M_DEPS += ../../../library/common/ad_3w_spi.v LIB_DEPS += axi_dmac diff --git a/projects/ad9081_fmca_ebz/vcu118/system_project.tcl b/projects/ad9081_fmca_ebz/vcu118/system_project.tcl index 635199519..d6b42ca75 100644 --- a/projects/ad9081_fmca_ebz/vcu118/system_project.tcl +++ b/projects/ad9081_fmca_ebz/vcu118/system_project.tcl @@ -7,7 +7,7 @@ source $ad_hdl_dir/projects/scripts/adi_board.tcl # other case use the default value # # Use over-writable parameters from the environment. -# +# # e.g. # make JESD_MODE=64B66B RX_RATE=24.75 TX_RATE=12.375 REF_CLK_RATE=375 RX_JESD_L=4 TX_JESD_L=4 # make JESD_MODE=64B66B RX_RATE=16.22016 TX_RATE=16.22016 REF_CLK_RATE=245.76 RX_JESD_M=8 RX_JESD_L=2 TX_JESD_M=16 TX_JESD_L=4 @@ -59,7 +59,7 @@ adi_project_files ad9081_fmca_ebz_vcu118 [list \ "system_constr.xdc"\ "timing_constr.xdc"\ "../../../library/common/ad_3w_spi.v"\ - "$ad_hdl_dir/library/xilinx/common/ad_iobuf.v" \ + "$ad_hdl_dir/library/common/ad_iobuf.v" \ "$ad_hdl_dir/projects/common/vcu118/vcu118_system_constr.xdc" ] diff --git a/projects/ad9081_fmca_ebz/zcu102/Makefile b/projects/ad9081_fmca_ebz/zcu102/Makefile index a666e9c20..422aefbb0 100644 --- a/projects/ad9081_fmca_ebz/zcu102/Makefile +++ b/projects/ad9081_fmca_ebz/zcu102/Makefile @@ -11,8 +11,8 @@ M_DEPS += ../../common/zcu102/zcu102_system_constr.xdc M_DEPS += ../../common/zcu102/zcu102_system_bd.tcl M_DEPS += ../../common/xilinx/dacfifo_bd.tcl M_DEPS += ../../common/xilinx/adcfifo_bd.tcl -M_DEPS += ../../../library/xilinx/common/ad_iobuf.v M_DEPS += ../../../library/jesd204/scripts/jesd204.tcl +M_DEPS += ../../../library/common/ad_iobuf.v M_DEPS += ../../../library/common/ad_3w_spi.v LIB_DEPS += axi_dmac diff --git a/projects/ad9081_fmca_ebz/zcu102/system_project.tcl b/projects/ad9081_fmca_ebz/zcu102/system_project.tcl index 59454cc96..f2b5e9557 100644 --- a/projects/ad9081_fmca_ebz/zcu102/system_project.tcl +++ b/projects/ad9081_fmca_ebz/zcu102/system_project.tcl @@ -22,7 +22,7 @@ source $ad_hdl_dir/projects/scripts/adi_board.tcl # [RX/TX]_JESD_NP : Number of bits per sample, only 16 is supported # [RX/TX]_NUM_LINKS : Number of links, matches numer of MxFE devices # -# +# # !!! For this carrier only 8B10B mode is supported !!! # @@ -45,7 +45,7 @@ adi_project_files ad9081_fmca_ebz_zcu102 [list \ "system_constr.xdc"\ "timing_constr.xdc"\ "../../../library/common/ad_3w_spi.v"\ - "$ad_hdl_dir/library/xilinx/common/ad_iobuf.v" \ + "$ad_hdl_dir/library/common/ad_iobuf.v" \ "$ad_hdl_dir/projects/common/zcu102/zcu102_system_constr.xdc" ] diff --git a/projects/ad9208_dual_ebz/vcu118/Makefile b/projects/ad9208_dual_ebz/vcu118/Makefile index 133b42aaa..42421d6be 100644 --- a/projects/ad9208_dual_ebz/vcu118/Makefile +++ b/projects/ad9208_dual_ebz/vcu118/Makefile @@ -10,8 +10,8 @@ M_DEPS += ../../daq3/common/daq3_spi.v M_DEPS += ../../common/xilinx/adcfifo_bd.tcl M_DEPS += ../../common/vcu118/vcu118_system_constr.xdc M_DEPS += ../../common/vcu118/vcu118_system_bd.tcl -M_DEPS += ../../../library/xilinx/common/ad_iobuf.v M_DEPS += ../../../library/jesd204/scripts/jesd204.tcl +M_DEPS += ../../../library/common/ad_iobuf.v LIB_DEPS += axi_dmac LIB_DEPS += axi_sysid diff --git a/projects/ad9208_dual_ebz/vcu118/system_project.tcl b/projects/ad9208_dual_ebz/vcu118/system_project.tcl index 0046b6353..8d520b4a2 100644 --- a/projects/ad9208_dual_ebz/vcu118/system_project.tcl +++ b/projects/ad9208_dual_ebz/vcu118/system_project.tcl @@ -7,7 +7,7 @@ adi_project ad9208_dual_ebz_vcu118 adi_project_files ad9208_dual_ebz_vcu118 [list \ "system_top.v" \ "system_constr.xdc"\ - "$ad_hdl_dir/library/xilinx/common/ad_iobuf.v" \ + "$ad_hdl_dir/library/common/ad_iobuf.v" \ "$ad_hdl_dir/projects/daq3/common/daq3_spi.v" \ "$ad_hdl_dir/projects/common/vcu118/vcu118_system_constr.xdc" ] diff --git a/projects/ad9265_fmc/zc706/Makefile b/projects/ad9265_fmc/zc706/Makefile index 77d53a6c8..a56e0b8bb 100644 --- a/projects/ad9265_fmc/zc706/Makefile +++ b/projects/ad9265_fmc/zc706/Makefile @@ -9,7 +9,7 @@ M_DEPS += ../common/ad9265_spi.v M_DEPS += ../common/ad9265_bd.tcl M_DEPS += ../../common/zc706/zc706_system_constr.xdc M_DEPS += ../../common/zc706/zc706_system_bd.tcl -M_DEPS += ../../../library/xilinx/common/ad_iobuf.v +M_DEPS += ../../../library/common/ad_iobuf.v LIB_DEPS += axi_ad9265 LIB_DEPS += axi_clkgen diff --git a/projects/ad9265_fmc/zc706/system_project.tcl b/projects/ad9265_fmc/zc706/system_project.tcl index 510b660be..9a77911dc 100644 --- a/projects/ad9265_fmc/zc706/system_project.tcl +++ b/projects/ad9265_fmc/zc706/system_project.tcl @@ -8,7 +8,7 @@ adi_project_files ad9265_fmc_zc706 [list \ "../common/ad9265_spi.v" \ "system_top.v" \ "system_constr.xdc" \ - "$ad_hdl_dir/library/xilinx/common/ad_iobuf.v" \ + "$ad_hdl_dir/library/common/ad_iobuf.v" \ "$ad_hdl_dir/projects/common/zc706/zc706_system_constr.xdc"] adi_project_run ad9265_fmc_zc706 diff --git a/projects/ad9434_fmc/zc706/Makefile b/projects/ad9434_fmc/zc706/Makefile index f978d1389..44e4ef68b 100644 --- a/projects/ad9434_fmc/zc706/Makefile +++ b/projects/ad9434_fmc/zc706/Makefile @@ -9,7 +9,7 @@ M_DEPS += ../common/ad9434_spi.v M_DEPS += ../common/ad9434_bd.tcl M_DEPS += ../../common/zc706/zc706_system_constr.xdc M_DEPS += ../../common/zc706/zc706_system_bd.tcl -M_DEPS += ../../../library/xilinx/common/ad_iobuf.v +M_DEPS += ../../../library/common/ad_iobuf.v LIB_DEPS += axi_ad9434 LIB_DEPS += axi_clkgen diff --git a/projects/ad9434_fmc/zc706/system_project.tcl b/projects/ad9434_fmc/zc706/system_project.tcl index 2ad7b04cd..2f5a6fbe2 100644 --- a/projects/ad9434_fmc/zc706/system_project.tcl +++ b/projects/ad9434_fmc/zc706/system_project.tcl @@ -8,7 +8,7 @@ adi_project_files ad9434_fmc_zc706 [list \ "../common/ad9434_spi.v" \ "system_top.v" \ "system_constr.xdc" \ - "$ad_hdl_dir/library/xilinx/common/ad_iobuf.v" \ + "$ad_hdl_dir/library/common/ad_iobuf.v" \ "$ad_hdl_dir/projects/common/zc706/zc706_system_constr.xdc"] adi_project_run ad9434_fmc_zc706 diff --git a/projects/ad9467_fmc/kc705/Makefile b/projects/ad9467_fmc/kc705/Makefile index 748bb1e1e..1099be24f 100644 --- a/projects/ad9467_fmc/kc705/Makefile +++ b/projects/ad9467_fmc/kc705/Makefile @@ -10,7 +10,7 @@ M_DEPS += ../common/ad9467_bd.tcl M_DEPS += ../../common/kc705/kc705_system_mig.prj M_DEPS += ../../common/kc705/kc705_system_constr.xdc M_DEPS += ../../common/kc705/kc705_system_bd.tcl -M_DEPS += ../../../library/xilinx/common/ad_iobuf.v +M_DEPS += ../../../library/common/ad_iobuf.v LIB_DEPS += axi_ad9467 LIB_DEPS += axi_dmac diff --git a/projects/ad9467_fmc/kc705/system_project.tcl b/projects/ad9467_fmc/kc705/system_project.tcl index cd062516a..976f746f7 100644 --- a/projects/ad9467_fmc/kc705/system_project.tcl +++ b/projects/ad9467_fmc/kc705/system_project.tcl @@ -6,7 +6,7 @@ source $ad_hdl_dir/projects/scripts/adi_board.tcl adi_project ad9467_fmc_kc705 adi_project_files ad9467_fmc_kc705 [list \ "../common/ad9467_spi.v" \ - "$ad_hdl_dir/library/xilinx/common/ad_iobuf.v" \ + "$ad_hdl_dir/library/common/ad_iobuf.v" \ "system_top.v" \ "system_constr.xdc" \ "$ad_hdl_dir/projects/common/kc705/kc705_system_constr.xdc"] diff --git a/projects/ad9467_fmc/zed/Makefile b/projects/ad9467_fmc/zed/Makefile index 0c7bc25ac..80f7c1fa3 100644 --- a/projects/ad9467_fmc/zed/Makefile +++ b/projects/ad9467_fmc/zed/Makefile @@ -9,7 +9,7 @@ M_DEPS += ../common/ad9467_spi.v M_DEPS += ../common/ad9467_bd.tcl M_DEPS += ../../common/zed/zed_system_constr.xdc M_DEPS += ../../common/zed/zed_system_bd.tcl -M_DEPS += ../../../library/xilinx/common/ad_iobuf.v +M_DEPS += ../../../library/common/ad_iobuf.v LIB_DEPS += axi_ad9467 LIB_DEPS += axi_clkgen diff --git a/projects/ad9467_fmc/zed/system_project.tcl b/projects/ad9467_fmc/zed/system_project.tcl index 05482eac2..192445533 100644 --- a/projects/ad9467_fmc/zed/system_project.tcl +++ b/projects/ad9467_fmc/zed/system_project.tcl @@ -6,7 +6,7 @@ source $ad_hdl_dir/projects/scripts/adi_board.tcl adi_project ad9467_fmc_zed adi_project_files ad9467_fmc_zed [list \ "../common/ad9467_spi.v" \ - "$ad_hdl_dir/library/xilinx/common/ad_iobuf.v" \ + "$ad_hdl_dir/library/common/ad_iobuf.v" \ "system_top.v" \ "system_constr.xdc" \ "$ad_hdl_dir/projects/common/zed/zed_system_constr.xdc"] diff --git a/projects/ad9739a_fmc/zc706/Makefile b/projects/ad9739a_fmc/zc706/Makefile index 058ac1f55..f9b78bd4d 100644 --- a/projects/ad9739a_fmc/zc706/Makefile +++ b/projects/ad9739a_fmc/zc706/Makefile @@ -8,7 +8,7 @@ PROJECT_NAME := ad9739a_fmc_zc706 M_DEPS += ../common/ad9739a_fmc_bd.tcl M_DEPS += ../../common/zc706/zc706_system_constr.xdc M_DEPS += ../../common/zc706/zc706_system_bd.tcl -M_DEPS += ../../../library/xilinx/common/ad_iobuf.v +M_DEPS += ../../../library/common/ad_iobuf.v LIB_DEPS += axi_ad9739a LIB_DEPS += axi_clkgen diff --git a/projects/ad9739a_fmc/zc706/system_project.tcl b/projects/ad9739a_fmc/zc706/system_project.tcl index 4690d5f05..86274bfff 100644 --- a/projects/ad9739a_fmc/zc706/system_project.tcl +++ b/projects/ad9739a_fmc/zc706/system_project.tcl @@ -9,7 +9,7 @@ adi_project ad9739a_fmc_zc706 adi_project_files ad9739a_fmc_zc706 [list \ "system_top.v" \ "system_constr.xdc"\ - "$ad_hdl_dir/library/xilinx/common/ad_iobuf.v" \ + "$ad_hdl_dir/library/common/ad_iobuf.v" \ "$ad_hdl_dir/projects/common/zc706/zc706_system_constr.xdc" ] adi_project_run ad9739a_fmc_zc706 diff --git a/projects/ad_fmclidar1_ebz/zc706/Makefile b/projects/ad_fmclidar1_ebz/zc706/Makefile index 092fbe6ed..7f428b848 100644 --- a/projects/ad_fmclidar1_ebz/zc706/Makefile +++ b/projects/ad_fmclidar1_ebz/zc706/Makefile @@ -10,9 +10,9 @@ M_DEPS += ../common/util_axis_syncgen.v M_DEPS += ../common/ad_fmclidar1_ebz_bd.tcl M_DEPS += ../../common/zc706/zc706_system_constr.xdc M_DEPS += ../../common/zc706/zc706_system_bd.tcl -M_DEPS += ../../../library/xilinx/common/ad_iobuf.v M_DEPS += ../../../library/util_cdc/sync_bits.v M_DEPS += ../../../library/jesd204/scripts/jesd204.tcl +M_DEPS += ../../../library/common/ad_iobuf.v LIB_DEPS += axi_clkgen LIB_DEPS += axi_dmac diff --git a/projects/ad_fmclidar1_ebz/zc706/system_project.tcl b/projects/ad_fmclidar1_ebz/zc706/system_project.tcl index 0c7f3fb5f..a5247fb38 100644 --- a/projects/ad_fmclidar1_ebz/zc706/system_project.tcl +++ b/projects/ad_fmclidar1_ebz/zc706/system_project.tcl @@ -6,7 +6,7 @@ adi_project ad_fmclidar1_ebz_zc706 adi_project_files ad_fmclidar1_ebz_zc706 [list \ "system_top.v" \ "system_constr.xdc"\ - "$ad_hdl_dir/library/xilinx/common/ad_iobuf.v" \ + "$ad_hdl_dir/library/common/ad_iobuf.v" \ "$ad_hdl_dir/projects/common/zc706/zc706_system_constr.xdc" ] adi_project_run ad_fmclidar1_ebz_zc706 diff --git a/projects/ad_fmclidar1_ebz/zcu102/Makefile b/projects/ad_fmclidar1_ebz/zcu102/Makefile index 6dc1de4de..bb6313e70 100644 --- a/projects/ad_fmclidar1_ebz/zcu102/Makefile +++ b/projects/ad_fmclidar1_ebz/zcu102/Makefile @@ -10,9 +10,9 @@ M_DEPS += ../common/util_axis_syncgen.v M_DEPS += ../common/ad_fmclidar1_ebz_bd.tcl M_DEPS += ../../common/zcu102/zcu102_system_constr.xdc M_DEPS += ../../common/zcu102/zcu102_system_bd.tcl -M_DEPS += ../../../library/xilinx/common/ad_iobuf.v M_DEPS += ../../../library/util_cdc/sync_bits.v M_DEPS += ../../../library/jesd204/scripts/jesd204.tcl +M_DEPS += ../../../library/common/ad_iobuf.v LIB_DEPS += axi_dmac LIB_DEPS += axi_laser_driver diff --git a/projects/ad_fmclidar1_ebz/zcu102/system_project.tcl b/projects/ad_fmclidar1_ebz/zcu102/system_project.tcl index a3999873d..1389cdbac 100644 --- a/projects/ad_fmclidar1_ebz/zcu102/system_project.tcl +++ b/projects/ad_fmclidar1_ebz/zcu102/system_project.tcl @@ -6,7 +6,7 @@ adi_project ad_fmclidar1_ebz_zcu102 adi_project_files ad_fmclidar1_ebz_zcu102 [list \ "system_top.v" \ "system_constr.xdc"\ - "$ad_hdl_dir/library/xilinx/common/ad_iobuf.v" \ + "$ad_hdl_dir/library/common/ad_iobuf.v" \ "$ad_hdl_dir/projects/common/zcu102/zcu102_system_constr.xdc" ] adi_project_run ad_fmclidar1_ebz_zcu102 diff --git a/projects/adaq7980_sdz/zed/Makefile b/projects/adaq7980_sdz/zed/Makefile index 58e2645f3..d345f8556 100644 --- a/projects/adaq7980_sdz/zed/Makefile +++ b/projects/adaq7980_sdz/zed/Makefile @@ -8,12 +8,13 @@ PROJECT_NAME := adaq7980_sdz_zed M_DEPS += ../common/adaq7980_bd.tcl M_DEPS += ../../common/zed/zed_system_constr.xdc M_DEPS += ../../common/zed/zed_system_bd.tcl -M_DEPS += ../../../library/xilinx/common/ad_iobuf.v +M_DEPS += ../../../library/common/ad_iobuf.v LIB_DEPS += axi_clkgen LIB_DEPS += axi_dmac LIB_DEPS += axi_hdmi_tx LIB_DEPS += axi_i2s_adi +LIB_DEPS += axi_pulse_gen LIB_DEPS += axi_spdif_tx LIB_DEPS += axi_sysid LIB_DEPS += spi_engine/axi_spi_engine @@ -22,6 +23,5 @@ LIB_DEPS += spi_engine/spi_engine_interconnect LIB_DEPS += spi_engine/spi_engine_offload LIB_DEPS += sysid_rom LIB_DEPS += util_i2c_mixer -LIB_DEPS += axi_pulse_gen include ../../scripts/project-xilinx.mk diff --git a/projects/adaq7980_sdz/zed/system_project.tcl b/projects/adaq7980_sdz/zed/system_project.tcl index eb6835e40..50d5a61a6 100644 --- a/projects/adaq7980_sdz/zed/system_project.tcl +++ b/projects/adaq7980_sdz/zed/system_project.tcl @@ -6,7 +6,7 @@ source $ad_hdl_dir/projects/scripts/adi_board.tcl adi_project adaq7980_sdz_zed adi_project_files adaq7980_sdz_zed [list \ - "$ad_hdl_dir/library/xilinx/common/ad_iobuf.v" \ + "$ad_hdl_dir/library/common/ad_iobuf.v" \ "system_top.v" \ "system_constr.xdc" \ "$ad_hdl_dir/projects/common/zed/zed_system_constr.xdc"] diff --git a/projects/adrv9001/zcu102/Makefile b/projects/adrv9001/zcu102/Makefile index 32cbf51be..fbae78975 100644 --- a/projects/adrv9001/zcu102/Makefile +++ b/projects/adrv9001/zcu102/Makefile @@ -10,7 +10,7 @@ M_DEPS += cmos_constr.xdc M_DEPS += ../common/adrv9001_bd.tcl M_DEPS += ../../common/zcu102/zcu102_system_constr.xdc M_DEPS += ../../common/zcu102/zcu102_system_bd.tcl -M_DEPS += ../../../library/xilinx/common/ad_iobuf.v +M_DEPS += ../../../library/common/ad_iobuf.v LIB_DEPS += axi_adrv9001 LIB_DEPS += axi_dmac diff --git a/projects/adrv9001/zcu102/system_project.tcl b/projects/adrv9001/zcu102/system_project.tcl index ad3418c6e..9a8574d4b 100644 --- a/projects/adrv9001/zcu102/system_project.tcl +++ b/projects/adrv9001/zcu102/system_project.tcl @@ -7,11 +7,11 @@ source $ad_hdl_dir/projects/scripts/adi_board.tcl # other case returns the default value specified in its second parameter field. # # How to use over-writable parameters from the environment: -# +# # e.g. -# make CMOS_LVDS_N=0 +# make CMOS_LVDS_N=0 # or -# make CMOS_LVDS_N=1 +# make CMOS_LVDS_N=1 # # # Parameter description: @@ -28,7 +28,7 @@ adi_project adrv9001_zcu102 0 [list \ adi_project_files {} [list \ "system_top.v" \ "system_constr.xdc"\ - "$ad_hdl_dir/library/xilinx/common/ad_iobuf.v" \ + "$ad_hdl_dir/library/common/ad_iobuf.v" \ "$ad_hdl_dir/projects/common/zcu102/zcu102_system_constr.xdc" ] if {$CMOS_LVDS_N == 0} { diff --git a/projects/adrv9001/zed/Makefile b/projects/adrv9001/zed/Makefile index 1bfd67644..6c36be548 100644 --- a/projects/adrv9001/zed/Makefile +++ b/projects/adrv9001/zed/Makefile @@ -9,7 +9,7 @@ M_DEPS += cmos_constr.xdc M_DEPS += ../common/adrv9001_bd.tcl M_DEPS += ../../common/zed/zed_system_constr.xdc M_DEPS += ../../common/zed/zed_system_bd.tcl -M_DEPS += ../../../library/xilinx/common/ad_iobuf.v +M_DEPS += ../../../library/common/ad_iobuf.v LIB_DEPS += axi_adrv9001 LIB_DEPS += axi_clkgen diff --git a/projects/adrv9001/zed/system_project.tcl b/projects/adrv9001/zed/system_project.tcl index 9a2d4048a..dac849e9a 100644 --- a/projects/adrv9001/zed/system_project.tcl +++ b/projects/adrv9001/zed/system_project.tcl @@ -10,7 +10,7 @@ adi_project_files adrv9001_zed [list \ "system_top.v" \ "system_constr.xdc" \ "cmos_constr.xdc" \ - "$ad_hdl_dir/library/xilinx/common/ad_iobuf.v" \ + "$ad_hdl_dir/library/common/ad_iobuf.v" \ "$ad_hdl_dir/projects/common/zed/zed_system_constr.xdc" ] set_property PROCESSING_ORDER LATE [get_files system_constr.xdc] diff --git a/projects/adrv9009/zc706/Makefile b/projects/adrv9009/zc706/Makefile index d5fc3ba83..167173fc8 100644 --- a/projects/adrv9009/zc706/Makefile +++ b/projects/adrv9009/zc706/Makefile @@ -12,10 +12,10 @@ M_DEPS += ../../common/zc706/zc706_plddr3_dacfifo_bd.tcl M_DEPS += ../../common/zc706/zc706_plddr3_constr.xdc M_DEPS += ../../common/xilinx/adi_fir_filter_constr.xdc M_DEPS += ../../common/xilinx/adi_fir_filter_bd.tcl -M_DEPS += ../../../library/xilinx/common/ad_iobuf.v M_DEPS += ../../../library/util_cdc/sync_bits.v M_DEPS += ../../../library/jesd204/scripts/jesd204.tcl M_DEPS += ../../../library/common/util_pulse_gen.v +M_DEPS += ../../../library/common/ad_iobuf.v M_DEPS += ../../../library/common/ad_bus_mux.v LIB_DEPS += axi_clkgen diff --git a/projects/adrv9009/zc706/system_project.tcl b/projects/adrv9009/zc706/system_project.tcl index 81c32ab96..068acb69d 100644 --- a/projects/adrv9009/zc706/system_project.tcl +++ b/projects/adrv9009/zc706/system_project.tcl @@ -9,7 +9,7 @@ adi_project adrv9009_zc706 adi_project_files adrv9009_zc706 [list \ "system_top.v" \ "system_constr.xdc"\ - "$ad_hdl_dir/library/xilinx/common/ad_iobuf.v" \ + "$ad_hdl_dir/library/common/ad_iobuf.v" \ "$ad_hdl_dir/library/common/ad_bus_mux.v" \ "$ad_hdl_dir/library/common/util_pulse_gen.v" \ "$ad_hdl_dir/projects/common/zc706/zc706_plddr3_constr.xdc" \ diff --git a/projects/adrv9009/zcu102/Makefile b/projects/adrv9009/zcu102/Makefile index 3499c68a6..1f6c66dfb 100644 --- a/projects/adrv9009/zcu102/Makefile +++ b/projects/adrv9009/zcu102/Makefile @@ -11,10 +11,10 @@ M_DEPS += ../../common/zcu102/zcu102_system_bd.tcl M_DEPS += ../../common/xilinx/dacfifo_bd.tcl M_DEPS += ../../common/xilinx/adi_fir_filter_constr.xdc M_DEPS += ../../common/xilinx/adi_fir_filter_bd.tcl -M_DEPS += ../../../library/xilinx/common/ad_iobuf.v M_DEPS += ../../../library/util_cdc/sync_bits.v M_DEPS += ../../../library/jesd204/scripts/jesd204.tcl M_DEPS += ../../../library/common/util_pulse_gen.v +M_DEPS += ../../../library/common/ad_iobuf.v M_DEPS += ../../../library/common/ad_bus_mux.v LIB_DEPS += axi_clkgen diff --git a/projects/adrv9009/zcu102/system_project.tcl b/projects/adrv9009/zcu102/system_project.tcl index 59219ecb3..c4abb75b6 100644 --- a/projects/adrv9009/zcu102/system_project.tcl +++ b/projects/adrv9009/zcu102/system_project.tcl @@ -7,7 +7,7 @@ adi_project adrv9009_zcu102 adi_project_files adrv9009_zcu102 [list \ "system_top.v" \ "system_constr.xdc"\ - "$ad_hdl_dir/library/xilinx/common/ad_iobuf.v" \ + "$ad_hdl_dir/library/common/ad_iobuf.v" \ "$ad_hdl_dir/library/common/ad_bus_mux.v" \ "$ad_hdl_dir/library/common/util_pulse_gen.v" \ "$ad_hdl_dir/projects/common/zcu102/zcu102_system_constr.xdc" ] diff --git a/projects/adrv9009zu11eg/adrv2crr_fmc/Makefile b/projects/adrv9009zu11eg/adrv2crr_fmc/Makefile index fc6b90772..055b7e562 100644 --- a/projects/adrv9009zu11eg/adrv2crr_fmc/Makefile +++ b/projects/adrv9009zu11eg/adrv2crr_fmc/Makefile @@ -10,8 +10,8 @@ M_DEPS += ../common/adrv9009zu11eg_constr.xdc M_DEPS += ../common/adrv9009zu11eg_bd.tcl M_DEPS += ../common/adrv2crr_fmc_constr.xdc M_DEPS += ../common/adrv2crr_fmc_bd.tcl -M_DEPS += ../../../library/xilinx/common/ad_iobuf.v M_DEPS += ../../../library/jesd204/scripts/jesd204.tcl +M_DEPS += ../../../library/common/ad_iobuf.v LIB_DEPS += axi_dmac LIB_DEPS += axi_fan_control diff --git a/projects/adrv9009zu11eg/adrv2crr_fmc/system_project.tcl b/projects/adrv9009zu11eg/adrv2crr_fmc/system_project.tcl index 167e953b9..69620bb15 100644 --- a/projects/adrv9009zu11eg/adrv2crr_fmc/system_project.tcl +++ b/projects/adrv9009zu11eg/adrv2crr_fmc/system_project.tcl @@ -22,6 +22,6 @@ adi_project_files adrv9009zu11eg [list \ "../common/adrv9009zu11eg_spi.v" \ "../common/adrv9009zu11eg_constr.xdc" \ "../common/adrv2crr_fmc_constr.xdc" \ - "$ad_hdl_dir/library/xilinx/common/ad_iobuf.v" ] + "$ad_hdl_dir/library/common/ad_iobuf.v" ] adi_project_run adrv9009zu11eg diff --git a/projects/adrv9009zu11eg/adrv2crr_fmcomms8/Makefile b/projects/adrv9009zu11eg/adrv2crr_fmcomms8/Makefile index 239fdf267..6e37eec2c 100644 --- a/projects/adrv9009zu11eg/adrv2crr_fmcomms8/Makefile +++ b/projects/adrv9009zu11eg/adrv2crr_fmcomms8/Makefile @@ -11,8 +11,8 @@ M_DEPS += ../common/adrv9009zu11eg_constr.xdc M_DEPS += ../common/adrv9009zu11eg_bd.tcl M_DEPS += ../common/adrv2crr_fmc_constr.xdc M_DEPS += ../common/adrv2crr_fmc_bd.tcl -M_DEPS += ../../../library/xilinx/common/ad_iobuf.v M_DEPS += ../../../library/jesd204/scripts/jesd204.tcl +M_DEPS += ../../../library/common/ad_iobuf.v LIB_DEPS += axi_dmac LIB_DEPS += axi_fan_control diff --git a/projects/adrv9009zu11eg/adrv2crr_fmcomms8/system_project.tcl b/projects/adrv9009zu11eg/adrv2crr_fmcomms8/system_project.tcl index 82beac836..a475f158a 100644 --- a/projects/adrv9009zu11eg/adrv2crr_fmcomms8/system_project.tcl +++ b/projects/adrv9009zu11eg/adrv2crr_fmcomms8/system_project.tcl @@ -24,7 +24,7 @@ adi_project_files fmcomms8_adrv9009zu11eg [list \ "../common/adrv9009zu11eg_spi.v" \ "../common/adrv9009zu11eg_constr.xdc" \ "../common/adrv2crr_fmc_constr.xdc" \ - "$ad_hdl_dir/library/xilinx/common/ad_iobuf.v" \ + "$ad_hdl_dir/library/common/ad_iobuf.v" \ ] adi_project_run fmcomms8_adrv9009zu11eg diff --git a/projects/adrv9361z7035/ccbob_cmos/Makefile b/projects/adrv9361z7035/ccbob_cmos/Makefile index 88590d90c..c38880c58 100644 --- a/projects/adrv9361z7035/ccbob_cmos/Makefile +++ b/projects/adrv9361z7035/ccbob_cmos/Makefile @@ -10,7 +10,7 @@ M_DEPS += ../common/ccbob_bd.tcl M_DEPS += ../common/adrv9361z7035_constr_cmos.xdc M_DEPS += ../common/adrv9361z7035_constr.xdc M_DEPS += ../common/adrv9361z7035_bd.tcl -M_DEPS += ../../../library/xilinx/common/ad_iobuf.v +M_DEPS += ../../../library/common/ad_iobuf.v M_DEPS += ../../../library/axi_ad9361/axi_ad9361_delay.tcl LIB_DEPS += axi_ad9361 diff --git a/projects/adrv9361z7035/ccbob_cmos/system_project.tcl b/projects/adrv9361z7035/ccbob_cmos/system_project.tcl index c184c5a7b..c4fc48b40 100644 --- a/projects/adrv9361z7035/ccbob_cmos/system_project.tcl +++ b/projects/adrv9361z7035/ccbob_cmos/system_project.tcl @@ -6,7 +6,7 @@ source $ad_hdl_dir/projects/scripts/adi_board.tcl set p_device "xc7z035ifbg676-2L" adi_project adrv9361z7035_ccbob_cmos adi_project_files adrv9361z7035_ccbob_cmos [list \ - "$ad_hdl_dir/library/xilinx/common/ad_iobuf.v" \ + "$ad_hdl_dir/library/common/ad_iobuf.v" \ "../common/adrv9361z7035_constr.xdc" \ "../common/adrv9361z7035_constr_cmos.xdc" \ "../common/ccbob_constr.xdc" \ diff --git a/projects/adrv9361z7035/ccbob_lvds/Makefile b/projects/adrv9361z7035/ccbob_lvds/Makefile index 3de357eec..957d60b74 100644 --- a/projects/adrv9361z7035/ccbob_lvds/Makefile +++ b/projects/adrv9361z7035/ccbob_lvds/Makefile @@ -10,7 +10,7 @@ M_DEPS += ../common/ccbob_bd.tcl M_DEPS += ../common/adrv9361z7035_constr_lvds.xdc M_DEPS += ../common/adrv9361z7035_constr.xdc M_DEPS += ../common/adrv9361z7035_bd.tcl -M_DEPS += ../../../library/xilinx/common/ad_iobuf.v +M_DEPS += ../../../library/common/ad_iobuf.v M_DEPS += ../../../library/axi_ad9361/axi_ad9361_delay.tcl LIB_DEPS += axi_ad9361 diff --git a/projects/adrv9361z7035/ccbob_lvds/system_project.tcl b/projects/adrv9361z7035/ccbob_lvds/system_project.tcl index 51f026e7f..c53f8c386 100644 --- a/projects/adrv9361z7035/ccbob_lvds/system_project.tcl +++ b/projects/adrv9361z7035/ccbob_lvds/system_project.tcl @@ -6,7 +6,7 @@ source $ad_hdl_dir/projects/scripts/adi_board.tcl set p_device "xc7z035ifbg676-2L" adi_project adrv9361z7035_ccbob_lvds adi_project_files adrv9361z7035_ccbob_lvds [list \ - "$ad_hdl_dir/library/xilinx/common/ad_iobuf.v" \ + "$ad_hdl_dir/library/common/ad_iobuf.v" \ "../common/adrv9361z7035_constr.xdc" \ "../common/adrv9361z7035_constr_lvds.xdc" \ "../common/ccbob_constr.xdc" \ diff --git a/projects/adrv9361z7035/ccfmc_lvds/Makefile b/projects/adrv9361z7035/ccfmc_lvds/Makefile index 8f2989ff0..6acf453b1 100644 --- a/projects/adrv9361z7035/ccfmc_lvds/Makefile +++ b/projects/adrv9361z7035/ccfmc_lvds/Makefile @@ -10,7 +10,7 @@ M_DEPS += ../common/ccfmc_bd.tcl M_DEPS += ../common/adrv9361z7035_constr_lvds.xdc M_DEPS += ../common/adrv9361z7035_constr.xdc M_DEPS += ../common/adrv9361z7035_bd.tcl -M_DEPS += ../../../library/xilinx/common/ad_iobuf.v +M_DEPS += ../../../library/common/ad_iobuf.v M_DEPS += ../../../library/common/ad_adl5904_rst.v M_DEPS += ../../../library/axi_ad9361/axi_ad9361_delay.tcl diff --git a/projects/adrv9361z7035/ccfmc_lvds/system_project.tcl b/projects/adrv9361z7035/ccfmc_lvds/system_project.tcl index 4b39e1b3e..62d6a3101 100644 --- a/projects/adrv9361z7035/ccfmc_lvds/system_project.tcl +++ b/projects/adrv9361z7035/ccfmc_lvds/system_project.tcl @@ -6,7 +6,7 @@ source $ad_hdl_dir/projects/scripts/adi_board.tcl set p_device "xc7z035ifbg676-2L" adi_project adrv9361z7035_ccfmc_lvds adi_project_files adrv9361z7035_ccfmc_lvds [list \ - "$ad_hdl_dir/library/xilinx/common/ad_iobuf.v" \ + "$ad_hdl_dir/library/common/ad_iobuf.v" \ "$ad_hdl_dir/library/common/ad_adl5904_rst.v" \ "../common/adrv9361z7035_constr.xdc" \ "../common/adrv9361z7035_constr_lvds.xdc" \ diff --git a/projects/adrv9361z7035/ccpackrf_lvds/Makefile b/projects/adrv9361z7035/ccpackrf_lvds/Makefile index 0208811c2..80d393f6a 100644 --- a/projects/adrv9361z7035/ccpackrf_lvds/Makefile +++ b/projects/adrv9361z7035/ccpackrf_lvds/Makefile @@ -10,7 +10,7 @@ M_DEPS += ../common/ccpackrf_bd.tcl M_DEPS += ../common/adrv9361z7035_constr_lvds.xdc M_DEPS += ../common/adrv9361z7035_constr.xdc M_DEPS += ../common/adrv9361z7035_bd.tcl -M_DEPS += ../../../library/xilinx/common/ad_iobuf.v +M_DEPS += ../../../library/common/ad_iobuf.v M_DEPS += ../../../library/common/ad_adl5904_rst.v M_DEPS += ../../../library/axi_ad9361/axi_ad9361_delay.tcl diff --git a/projects/adrv9361z7035/ccpackrf_lvds/system_project.tcl b/projects/adrv9361z7035/ccpackrf_lvds/system_project.tcl index 7db208269..0d685f91d 100644 --- a/projects/adrv9361z7035/ccpackrf_lvds/system_project.tcl +++ b/projects/adrv9361z7035/ccpackrf_lvds/system_project.tcl @@ -6,7 +6,7 @@ source $ad_hdl_dir/projects/scripts/adi_board.tcl set p_device "xc7z035ifbg676-2L" adi_project adrv9361z7035_ccpackrf_lvds adi_project_files adrv9361z7035_ccpackrf_lvds [list \ - "$ad_hdl_dir/library/xilinx/common/ad_iobuf.v" \ + "$ad_hdl_dir/library/common/ad_iobuf.v" \ "$ad_hdl_dir/library/common/ad_adl5904_rst.v" \ "../common/adrv9361z7035_constr.xdc" \ "../common/adrv9361z7035_constr_lvds.xdc" \ diff --git a/projects/adrv9364z7020/ccbob_cmos/Makefile b/projects/adrv9364z7020/ccbob_cmos/Makefile index 88368a4b8..5fa437183 100644 --- a/projects/adrv9364z7020/ccbob_cmos/Makefile +++ b/projects/adrv9364z7020/ccbob_cmos/Makefile @@ -10,7 +10,7 @@ M_DEPS += ../common/ccbob_bd.tcl M_DEPS += ../common/adrv9364z7020_constr_cmos.xdc M_DEPS += ../common/adrv9364z7020_constr.xdc M_DEPS += ../common/adrv9364z7020_bd.tcl -M_DEPS += ../../../library/xilinx/common/ad_iobuf.v +M_DEPS += ../../../library/common/ad_iobuf.v M_DEPS += ../../../library/axi_ad9361/axi_ad9361_delay.tcl LIB_DEPS += axi_ad9361 diff --git a/projects/adrv9364z7020/ccbob_cmos/system_project.tcl b/projects/adrv9364z7020/ccbob_cmos/system_project.tcl index 05f543808..43604b020 100644 --- a/projects/adrv9364z7020/ccbob_cmos/system_project.tcl +++ b/projects/adrv9364z7020/ccbob_cmos/system_project.tcl @@ -6,7 +6,7 @@ source $ad_hdl_dir/projects/scripts/adi_board.tcl set p_device "xc7z020clg400-1" adi_project adrv9364z7020_ccbob_cmos adi_project_files adrv9364z7020_ccbob_cmos [list \ - "$ad_hdl_dir/library/xilinx/common/ad_iobuf.v" \ + "$ad_hdl_dir/library/common/ad_iobuf.v" \ "../common/adrv9364z7020_constr.xdc" \ "../common/adrv9364z7020_constr_cmos.xdc" \ "../common/ccbob_constr.xdc" \ diff --git a/projects/adrv9364z7020/ccbob_lvds/Makefile b/projects/adrv9364z7020/ccbob_lvds/Makefile index 064347127..d63da7dd6 100644 --- a/projects/adrv9364z7020/ccbob_lvds/Makefile +++ b/projects/adrv9364z7020/ccbob_lvds/Makefile @@ -10,7 +10,7 @@ M_DEPS += ../common/ccbob_bd.tcl M_DEPS += ../common/adrv9364z7020_constr_lvds.xdc M_DEPS += ../common/adrv9364z7020_constr.xdc M_DEPS += ../common/adrv9364z7020_bd.tcl -M_DEPS += ../../../library/xilinx/common/ad_iobuf.v +M_DEPS += ../../../library/common/ad_iobuf.v M_DEPS += ../../../library/axi_ad9361/axi_ad9361_delay.tcl LIB_DEPS += axi_ad9361 diff --git a/projects/adrv9364z7020/ccbob_lvds/system_project.tcl b/projects/adrv9364z7020/ccbob_lvds/system_project.tcl index e74e6def4..35a913d3e 100644 --- a/projects/adrv9364z7020/ccbob_lvds/system_project.tcl +++ b/projects/adrv9364z7020/ccbob_lvds/system_project.tcl @@ -6,7 +6,7 @@ source $ad_hdl_dir/projects/scripts/adi_board.tcl set p_device "xc7z020clg400-1" adi_project adrv9364z7020_ccbob_lvds adi_project_files adrv9364z7020_ccbob_lvds [list \ - "$ad_hdl_dir/library/xilinx/common/ad_iobuf.v" \ + "$ad_hdl_dir/library/common/ad_iobuf.v" \ "../common/adrv9364z7020_constr.xdc" \ "../common/adrv9364z7020_constr_lvds.xdc" \ "../common/ccbob_constr.xdc" \ diff --git a/projects/adrv9364z7020/ccpackrf_lvds/Makefile b/projects/adrv9364z7020/ccpackrf_lvds/Makefile index a63af8413..4470482c1 100644 --- a/projects/adrv9364z7020/ccpackrf_lvds/Makefile +++ b/projects/adrv9364z7020/ccpackrf_lvds/Makefile @@ -10,7 +10,7 @@ M_DEPS += ../common/ccpackrf_bd.tcl M_DEPS += ../common/adrv9364z7020_constr_lvds.xdc M_DEPS += ../common/adrv9364z7020_constr.xdc M_DEPS += ../common/adrv9364z7020_bd.tcl -M_DEPS += ../../../library/xilinx/common/ad_iobuf.v +M_DEPS += ../../../library/common/ad_iobuf.v M_DEPS += ../../../library/common/ad_adl5904_rst.v M_DEPS += ../../../library/axi_ad9361/axi_ad9361_delay.tcl diff --git a/projects/adrv9364z7020/ccpackrf_lvds/system_project.tcl b/projects/adrv9364z7020/ccpackrf_lvds/system_project.tcl index 5553b5cba..3f32a1457 100644 --- a/projects/adrv9364z7020/ccpackrf_lvds/system_project.tcl +++ b/projects/adrv9364z7020/ccpackrf_lvds/system_project.tcl @@ -6,7 +6,7 @@ source $ad_hdl_dir/projects/scripts/adi_board.tcl set p_device "xc7z020clg400-1" adi_project adrv9364z7020_ccpackrf_lvds adi_project_files adrv9364z7020_ccpackrf_lvds [list \ - "$ad_hdl_dir/library/xilinx/common/ad_iobuf.v" \ + "$ad_hdl_dir/library/common/ad_iobuf.v" \ "$ad_hdl_dir/library/common/ad_adl5904_rst.v" \ "../common/adrv9364z7020_constr.xdc" \ "../common/adrv9364z7020_constr_lvds.xdc" \ diff --git a/projects/adrv9371x/kcu105/Makefile b/projects/adrv9371x/kcu105/Makefile index 92eb1c612..69cd48a51 100644 --- a/projects/adrv9371x/kcu105/Makefile +++ b/projects/adrv9371x/kcu105/Makefile @@ -13,10 +13,10 @@ M_DEPS += ../../common/kcu105/kcu105_system_mig.tcl M_DEPS += ../../common/kcu105/kcu105_system_lutram_constr.xdc M_DEPS += ../../common/kcu105/kcu105_system_constr.xdc M_DEPS += ../../common/kcu105/kcu105_system_bd.tcl -M_DEPS += ../../../library/xilinx/common/ad_iobuf.v M_DEPS += ../../../library/util_cdc/sync_bits.v M_DEPS += ../../../library/jesd204/scripts/jesd204.tcl M_DEPS += ../../../library/common/util_pulse_gen.v +M_DEPS += ../../../library/common/ad_iobuf.v M_DEPS += ../../../library/common/ad_bus_mux.v LIB_DEPS += axi_clkgen diff --git a/projects/adrv9371x/kcu105/system_project.tcl b/projects/adrv9371x/kcu105/system_project.tcl index c51c6b439..bb1ddf45b 100644 --- a/projects/adrv9371x/kcu105/system_project.tcl +++ b/projects/adrv9371x/kcu105/system_project.tcl @@ -7,7 +7,7 @@ adi_project adrv9371x_kcu105 adi_project_files adrv9371x_kcu105 [list \ "system_top.v" \ "system_constr.xdc"\ - "$ad_hdl_dir/library/xilinx/common/ad_iobuf.v" \ + "$ad_hdl_dir/library/common/ad_iobuf.v" \ "$ad_hdl_dir/library/common/ad_bus_mux.v" \ "$ad_hdl_dir/library/common/util_pulse_gen.v" \ "$ad_hdl_dir/projects/common/kcu105/kcu105_system_constr.xdc" \ diff --git a/projects/adrv9371x/zc706/Makefile b/projects/adrv9371x/zc706/Makefile index 3c35eb22a..31b1bb687 100644 --- a/projects/adrv9371x/zc706/Makefile +++ b/projects/adrv9371x/zc706/Makefile @@ -12,10 +12,10 @@ M_DEPS += ../../common/zc706/zc706_plddr3_dacfifo_bd.tcl M_DEPS += ../../common/zc706/zc706_plddr3_constr.xdc M_DEPS += ../../common/xilinx/adi_fir_filter_constr.xdc M_DEPS += ../../common/xilinx/adi_fir_filter_bd.tcl -M_DEPS += ../../../library/xilinx/common/ad_iobuf.v M_DEPS += ../../../library/util_cdc/sync_bits.v M_DEPS += ../../../library/jesd204/scripts/jesd204.tcl M_DEPS += ../../../library/common/util_pulse_gen.v +M_DEPS += ../../../library/common/ad_iobuf.v M_DEPS += ../../../library/common/ad_bus_mux.v LIB_DEPS += axi_clkgen diff --git a/projects/adrv9371x/zc706/system_project.tcl b/projects/adrv9371x/zc706/system_project.tcl index cf0c44b5c..7853399ca 100644 --- a/projects/adrv9371x/zc706/system_project.tcl +++ b/projects/adrv9371x/zc706/system_project.tcl @@ -9,7 +9,7 @@ adi_project adrv9371x_zc706 adi_project_files adrv9371x_zc706 [list \ "system_top.v" \ "system_constr.xdc"\ - "$ad_hdl_dir/library/xilinx/common/ad_iobuf.v" \ + "$ad_hdl_dir/library/common/ad_iobuf.v" \ "$ad_hdl_dir/library/common/ad_bus_mux.v" \ "$ad_hdl_dir/library/common/util_pulse_gen.v" \ "$ad_hdl_dir/projects/common/zc706/zc706_plddr3_constr.xdc" \ diff --git a/projects/adrv9371x/zcu102/Makefile b/projects/adrv9371x/zcu102/Makefile index f400d73ed..299891fbe 100644 --- a/projects/adrv9371x/zcu102/Makefile +++ b/projects/adrv9371x/zcu102/Makefile @@ -11,10 +11,10 @@ M_DEPS += ../../common/zcu102/zcu102_system_bd.tcl M_DEPS += ../../common/xilinx/dacfifo_bd.tcl M_DEPS += ../../common/xilinx/adi_fir_filter_constr.xdc M_DEPS += ../../common/xilinx/adi_fir_filter_bd.tcl -M_DEPS += ../../../library/xilinx/common/ad_iobuf.v M_DEPS += ../../../library/util_cdc/sync_bits.v M_DEPS += ../../../library/jesd204/scripts/jesd204.tcl M_DEPS += ../../../library/common/util_pulse_gen.v +M_DEPS += ../../../library/common/ad_iobuf.v M_DEPS += ../../../library/common/ad_bus_mux.v LIB_DEPS += axi_clkgen diff --git a/projects/adrv9371x/zcu102/system_project.tcl b/projects/adrv9371x/zcu102/system_project.tcl index 3fc4f8163..6e613d962 100644 --- a/projects/adrv9371x/zcu102/system_project.tcl +++ b/projects/adrv9371x/zcu102/system_project.tcl @@ -7,7 +7,7 @@ adi_project adrv9371x_zcu102 adi_project_files adrv9371x_zcu102 [list \ "system_top.v" \ "system_constr.xdc"\ - "$ad_hdl_dir/library/xilinx/common/ad_iobuf.v" \ + "$ad_hdl_dir/library/common/ad_iobuf.v" \ "$ad_hdl_dir/library/common/ad_bus_mux.v" \ "$ad_hdl_dir/library/common/util_pulse_gen.v" \ "$ad_hdl_dir/projects/common/zcu102/zcu102_system_constr.xdc" ] diff --git a/projects/adv7511/zc702/Makefile b/projects/adv7511/zc702/Makefile index 03ea4a35f..6d1601d65 100644 --- a/projects/adv7511/zc702/Makefile +++ b/projects/adv7511/zc702/Makefile @@ -7,7 +7,7 @@ PROJECT_NAME := adv7511_zc702 M_DEPS += ../../common/zc702/zc702_system_constr.xdc M_DEPS += ../../common/zc702/zc702_system_bd.tcl -M_DEPS += ../../../library/xilinx/common/ad_iobuf.v +M_DEPS += ../../../library/common/ad_iobuf.v LIB_DEPS += axi_clkgen LIB_DEPS += axi_dmac diff --git a/projects/adv7511/zc702/system_project.tcl b/projects/adv7511/zc702/system_project.tcl index 1c57cf9ab..138195083 100644 --- a/projects/adv7511/zc702/system_project.tcl +++ b/projects/adv7511/zc702/system_project.tcl @@ -8,7 +8,7 @@ adi_project_files adv7511_zc702 [list \ "system_top.v" \ "system_constr.xdc" \ "$ad_hdl_dir/projects/common/zc702/zc702_system_constr.xdc" \ - "$ad_hdl_dir/library/xilinx/common/ad_iobuf.v" ] + "$ad_hdl_dir/library/common/ad_iobuf.v" ] adi_project_run adv7511_zc702 diff --git a/projects/adv7511/zc706/Makefile b/projects/adv7511/zc706/Makefile index fd23cbf75..949c35cf1 100644 --- a/projects/adv7511/zc706/Makefile +++ b/projects/adv7511/zc706/Makefile @@ -7,7 +7,7 @@ PROJECT_NAME := adv7511_zc706 M_DEPS += ../../common/zc706/zc706_system_constr.xdc M_DEPS += ../../common/zc706/zc706_system_bd.tcl -M_DEPS += ../../../library/xilinx/common/ad_iobuf.v +M_DEPS += ../../../library/common/ad_iobuf.v LIB_DEPS += axi_clkgen LIB_DEPS += axi_dmac diff --git a/projects/adv7511/zc706/system_project.tcl b/projects/adv7511/zc706/system_project.tcl index a302a3410..d83f478fe 100644 --- a/projects/adv7511/zc706/system_project.tcl +++ b/projects/adv7511/zc706/system_project.tcl @@ -7,7 +7,7 @@ adi_project adv7511_zc706 adi_project_files adv7511_zc706 [list \ "system_top.v" \ "$ad_hdl_dir/projects/common/zc706/zc706_system_constr.xdc" \ - "$ad_hdl_dir/library/xilinx/common/ad_iobuf.v"] + "$ad_hdl_dir/library/common/ad_iobuf.v"] adi_project_run adv7511_zc706 diff --git a/projects/adv7511/zed/Makefile b/projects/adv7511/zed/Makefile index edbc516c0..3c4974f65 100755 --- a/projects/adv7511/zed/Makefile +++ b/projects/adv7511/zed/Makefile @@ -7,7 +7,7 @@ PROJECT_NAME := adv7511_zed M_DEPS += ../../common/zed/zed_system_constr.xdc M_DEPS += ../../common/zed/zed_system_bd.tcl -M_DEPS += ../../../library/xilinx/common/ad_iobuf.v +M_DEPS += ../../../library/common/ad_iobuf.v LIB_DEPS += axi_clkgen LIB_DEPS += axi_dmac diff --git a/projects/adv7511/zed/system_project.tcl b/projects/adv7511/zed/system_project.tcl index d95eafc94..1ca097daa 100644 --- a/projects/adv7511/zed/system_project.tcl +++ b/projects/adv7511/zed/system_project.tcl @@ -9,7 +9,7 @@ adi_project adv7511_zed adi_project_files adv7511_zed [list \ "system_top.v" \ "$ad_hdl_dir/projects/common/zed/zed_system_constr.xdc" \ - "$ad_hdl_dir/library/xilinx/common/ad_iobuf.v"] + "$ad_hdl_dir/library/common/ad_iobuf.v"] adi_project_run adv7511_zed diff --git a/projects/cn0363/zed/Makefile b/projects/cn0363/zed/Makefile index 32a1f9969..c288eec62 100644 --- a/projects/cn0363/zed/Makefile +++ b/projects/cn0363/zed/Makefile @@ -8,7 +8,7 @@ PROJECT_NAME := cn0363_zed M_DEPS += ../common/cn0363_bd.tcl M_DEPS += ../../common/zed/zed_system_constr.xdc M_DEPS += ../../common/zed/zed_system_bd.tcl -M_DEPS += ../../../library/xilinx/common/ad_iobuf.v +M_DEPS += ../../../library/common/ad_iobuf.v LIB_DEPS += axi_clkgen LIB_DEPS += axi_dmac diff --git a/projects/cn0363/zed/system_project.tcl b/projects/cn0363/zed/system_project.tcl index 6f750e80e..e513c8889 100644 --- a/projects/cn0363/zed/system_project.tcl +++ b/projects/cn0363/zed/system_project.tcl @@ -7,6 +7,6 @@ adi_project_files cn0363_zed [list \ "system_top.v" \ "system_constr.xdc" \ "$ad_hdl_dir/projects/common/zed/zed_system_constr.xdc" \ - "$ad_hdl_dir/library/xilinx/common/ad_iobuf.v"] + "$ad_hdl_dir/library/common/ad_iobuf.v"] adi_project_run cn0363_zed diff --git a/projects/cn0506_mii/zc706/Makefile b/projects/cn0506_mii/zc706/Makefile index 2724a3707..770ae762b 100644 --- a/projects/cn0506_mii/zc706/Makefile +++ b/projects/cn0506_mii/zc706/Makefile @@ -8,7 +8,7 @@ PROJECT_NAME := cn0506_mii_zc706 M_DEPS += ../common/cn0506_bd.tcl M_DEPS += ../../common/zc706/zc706_system_constr.xdc M_DEPS += ../../common/zc706/zc706_system_bd.tcl -M_DEPS += ../../../library/xilinx/common/ad_iobuf.v +M_DEPS += ../../../library/common/ad_iobuf.v LIB_DEPS += axi_clkgen LIB_DEPS += axi_dmac diff --git a/projects/cn0506_mii/zc706/system_project.tcl b/projects/cn0506_mii/zc706/system_project.tcl index 8937bee58..42a75fe5b 100644 --- a/projects/cn0506_mii/zc706/system_project.tcl +++ b/projects/cn0506_mii/zc706/system_project.tcl @@ -8,7 +8,7 @@ adi_project_files cn0506_mii_zc706 [list \ "system_top.v" \ "system_constr.xdc"\ "$ad_hdl_dir/projects/common/zc706/zc706_system_constr.xdc" \ - "$ad_hdl_dir/library/xilinx/common/ad_iobuf.v"] + "$ad_hdl_dir/library/common/ad_iobuf.v"] adi_project_run cn0506_mii_zc706 diff --git a/projects/cn0506_mii/zed/Makefile b/projects/cn0506_mii/zed/Makefile index 90732007b..bd0239e71 100644 --- a/projects/cn0506_mii/zed/Makefile +++ b/projects/cn0506_mii/zed/Makefile @@ -8,7 +8,7 @@ PROJECT_NAME := cn0506_mii_zed M_DEPS += ../common/cn0506_bd.tcl M_DEPS += ../../common/zed/zed_system_constr.xdc M_DEPS += ../../common/zed/zed_system_bd.tcl -M_DEPS += ../../../library/xilinx/common/ad_iobuf.v +M_DEPS += ../../../library/common/ad_iobuf.v LIB_DEPS += axi_clkgen LIB_DEPS += axi_dmac diff --git a/projects/cn0506_mii/zed/system_project.tcl b/projects/cn0506_mii/zed/system_project.tcl index 0600b0bb8..203f33117 100644 --- a/projects/cn0506_mii/zed/system_project.tcl +++ b/projects/cn0506_mii/zed/system_project.tcl @@ -8,7 +8,7 @@ adi_project_files cn0506_mii_zed [list \ "system_top.v" \ "system_constr.xdc"\ "$ad_hdl_dir/projects/common/zed/zed_system_constr.xdc" \ - "$ad_hdl_dir/library/xilinx/common/ad_iobuf.v"] + "$ad_hdl_dir/library/common/ad_iobuf.v"] adi_project_run cn0506_mii_zed diff --git a/projects/cn0506_rgmii/zc706/Makefile b/projects/cn0506_rgmii/zc706/Makefile index fc652563d..48ca837a2 100644 --- a/projects/cn0506_rgmii/zc706/Makefile +++ b/projects/cn0506_rgmii/zc706/Makefile @@ -8,7 +8,7 @@ PROJECT_NAME := cn0506_rgmii_zc706 M_DEPS += ../common/cn0506_bd.tcl M_DEPS += ../../common/zc706/zc706_system_constr.xdc M_DEPS += ../../common/zc706/zc706_system_bd.tcl -M_DEPS += ../../../library/xilinx/common/ad_iobuf.v +M_DEPS += ../../../library/common/ad_iobuf.v LIB_DEPS += axi_clkgen LIB_DEPS += axi_dmac diff --git a/projects/cn0506_rgmii/zc706/system_project.tcl b/projects/cn0506_rgmii/zc706/system_project.tcl index 77813d4ed..a9a49e9e8 100644 --- a/projects/cn0506_rgmii/zc706/system_project.tcl +++ b/projects/cn0506_rgmii/zc706/system_project.tcl @@ -8,7 +8,7 @@ adi_project_files cn0506_rgmii_zc706 [list \ "system_top.v" \ "system_constr.xdc"\ "$ad_hdl_dir/projects/common/zc706/zc706_system_constr.xdc" \ - "$ad_hdl_dir/library/xilinx/common/ad_iobuf.v"] + "$ad_hdl_dir/library/common/ad_iobuf.v"] adi_project_run cn0506_rgmii_zc706 diff --git a/projects/cn0506_rgmii/zed/Makefile b/projects/cn0506_rgmii/zed/Makefile index c88a35a90..cdcac9051 100644 --- a/projects/cn0506_rgmii/zed/Makefile +++ b/projects/cn0506_rgmii/zed/Makefile @@ -8,7 +8,7 @@ PROJECT_NAME := cn0506_rgmii_zed M_DEPS += ../common/cn0506_bd.tcl M_DEPS += ../../common/zed/zed_system_constr.xdc M_DEPS += ../../common/zed/zed_system_bd.tcl -M_DEPS += ../../../library/xilinx/common/ad_iobuf.v +M_DEPS += ../../../library/common/ad_iobuf.v LIB_DEPS += axi_clkgen LIB_DEPS += axi_dmac diff --git a/projects/cn0506_rgmii/zed/system_project.tcl b/projects/cn0506_rgmii/zed/system_project.tcl index b806b9b1d..e99cd0045 100644 --- a/projects/cn0506_rgmii/zed/system_project.tcl +++ b/projects/cn0506_rgmii/zed/system_project.tcl @@ -8,7 +8,7 @@ adi_project_files cn0506_rgmii_zed [list \ "system_top.v" \ "system_constr.xdc"\ "$ad_hdl_dir/projects/common/zed/zed_system_constr.xdc" \ - "$ad_hdl_dir/library/xilinx/common/ad_iobuf.v"] + "$ad_hdl_dir/library/common/ad_iobuf.v"] adi_project_run cn0506_rgmii_zed diff --git a/projects/cn0506_rmii/zc706/Makefile b/projects/cn0506_rmii/zc706/Makefile index 06101f46d..078bf11cb 100644 --- a/projects/cn0506_rmii/zc706/Makefile +++ b/projects/cn0506_rmii/zc706/Makefile @@ -7,7 +7,7 @@ PROJECT_NAME := cn0506_rmii_zc706 M_DEPS += ../../common/zc706/zc706_system_constr.xdc M_DEPS += ../../common/zc706/zc706_system_bd.tcl -M_DEPS += ../../../library/xilinx/common/ad_iobuf.v +M_DEPS += ../../../library/common/ad_iobuf.v LIB_DEPS += axi_clkgen LIB_DEPS += axi_dmac diff --git a/projects/cn0506_rmii/zc706/system_project.tcl b/projects/cn0506_rmii/zc706/system_project.tcl index 08c7095bb..27dad3c98 100644 --- a/projects/cn0506_rmii/zc706/system_project.tcl +++ b/projects/cn0506_rmii/zc706/system_project.tcl @@ -8,7 +8,7 @@ adi_project_files cn0506_rmii_zc706 [list \ "system_top.v" \ "system_constr.xdc"\ "$ad_hdl_dir/projects/common/zc706/zc706_system_constr.xdc" \ - "$ad_hdl_dir/library/xilinx/common/ad_iobuf.v"] + "$ad_hdl_dir/library/common/ad_iobuf.v"] adi_project_run cn0506_rmii_zc706 diff --git a/projects/cn0506_rmii/zed/Makefile b/projects/cn0506_rmii/zed/Makefile index b0c2b59bd..9eac77500 100644 --- a/projects/cn0506_rmii/zed/Makefile +++ b/projects/cn0506_rmii/zed/Makefile @@ -7,7 +7,7 @@ PROJECT_NAME := cn0506_rmii_zed M_DEPS += ../../common/zed/zed_system_constr.xdc M_DEPS += ../../common/zed/zed_system_bd.tcl -M_DEPS += ../../../library/xilinx/common/ad_iobuf.v +M_DEPS += ../../../library/common/ad_iobuf.v LIB_DEPS += axi_clkgen LIB_DEPS += axi_dmac diff --git a/projects/cn0506_rmii/zed/system_project.tcl b/projects/cn0506_rmii/zed/system_project.tcl index 194eb83f2..ead40f7aa 100644 --- a/projects/cn0506_rmii/zed/system_project.tcl +++ b/projects/cn0506_rmii/zed/system_project.tcl @@ -8,7 +8,7 @@ adi_project_files cn0506_rmii_zed [list \ "system_top.v" \ "system_constr.xdc"\ "$ad_hdl_dir/projects/common/zed/zed_system_constr.xdc" \ - "$ad_hdl_dir/library/xilinx/common/ad_iobuf.v"] + "$ad_hdl_dir/library/common/ad_iobuf.v"] adi_project_run cn0506_rmii_zed diff --git a/projects/cn0540/coraz7s/Makefile b/projects/cn0540/coraz7s/Makefile index 3f3dc9ba9..bdb0db4e5 100755 --- a/projects/cn0540/coraz7s/Makefile +++ b/projects/cn0540/coraz7s/Makefile @@ -9,7 +9,7 @@ M_DEPS += ../common/cn0540_bd.tcl M_DEPS += ../../common/coraz7s/coraz7s_system_ps7.tcl M_DEPS += ../../common/coraz7s/coraz7s_system_constr.xdc M_DEPS += ../../common/coraz7s/coraz7s_system_bd.tcl -M_DEPS += ../../../library/xilinx/common/ad_iobuf.v +M_DEPS += ../../../library/common/ad_iobuf.v LIB_DEPS += axi_clkgen LIB_DEPS += axi_dmac diff --git a/projects/cn0540/coraz7s/system_project.tcl b/projects/cn0540/coraz7s/system_project.tcl index e1e6c631c..f86d46a68 100755 --- a/projects/cn0540/coraz7s/system_project.tcl +++ b/projects/cn0540/coraz7s/system_project.tcl @@ -6,7 +6,7 @@ source $ad_hdl_dir/projects/scripts/adi_board.tcl adi_project cn0540_coraz7s adi_project_files cn0540_coraz7s [list \ - "$ad_hdl_dir/library/xilinx/common/ad_iobuf.v" \ + "$ad_hdl_dir/library/common/ad_iobuf.v" \ "system_top.v" \ "system_constr.xdc" \ "$ad_hdl_dir/projects/common/coraz7s/coraz7s_system_constr.xdc"] diff --git a/projects/dac_fmc_ebz/zc706/Makefile b/projects/dac_fmc_ebz/zc706/Makefile index cea7f7c22..b49369cff 100644 --- a/projects/dac_fmc_ebz/zc706/Makefile +++ b/projects/dac_fmc_ebz/zc706/Makefile @@ -10,8 +10,8 @@ M_DEPS += ../common/config.tcl M_DEPS += ../../common/zc706/zc706_system_constr.xdc M_DEPS += ../../common/zc706/zc706_system_bd.tcl M_DEPS += ../../common/xilinx/dacfifo_bd.tcl -M_DEPS += ../../../library/xilinx/common/ad_iobuf.v M_DEPS += ../../../library/jesd204/scripts/jesd204.tcl +M_DEPS += ../../../library/common/ad_iobuf.v LIB_DEPS += axi_clkgen LIB_DEPS += axi_dmac diff --git a/projects/dac_fmc_ebz/zc706/system_project.tcl b/projects/dac_fmc_ebz/zc706/system_project.tcl index 4764ce2f4..6f393e249 100644 --- a/projects/dac_fmc_ebz/zc706/system_project.tcl +++ b/projects/dac_fmc_ebz/zc706/system_project.tcl @@ -51,7 +51,7 @@ adi_project dac_fmc_ebz_zc706 0 [list \ adi_project_files dac_fmc_ebz_zc706 [list \ "system_top.v" \ "system_constr.xdc"\ - "$ad_hdl_dir/library/xilinx/common/ad_iobuf.v" \ + "$ad_hdl_dir/library/common/ad_iobuf.v" \ "$ad_hdl_dir/projects/common/zc706/zc706_system_constr.xdc" ] adi_project_run dac_fmc_ebz_zc706 diff --git a/projects/dac_fmc_ebz/zcu102/Makefile b/projects/dac_fmc_ebz/zcu102/Makefile index 4795f18ff..efc67ca0c 100644 --- a/projects/dac_fmc_ebz/zcu102/Makefile +++ b/projects/dac_fmc_ebz/zcu102/Makefile @@ -10,8 +10,8 @@ M_DEPS += ../common/config.tcl M_DEPS += ../../common/zcu102/zcu102_system_constr.xdc M_DEPS += ../../common/zcu102/zcu102_system_bd.tcl M_DEPS += ../../common/xilinx/dacfifo_bd.tcl -M_DEPS += ../../../library/xilinx/common/ad_iobuf.v M_DEPS += ../../../library/jesd204/scripts/jesd204.tcl +M_DEPS += ../../../library/common/ad_iobuf.v LIB_DEPS += axi_dmac LIB_DEPS += axi_sysid diff --git a/projects/dac_fmc_ebz/zcu102/system_project.tcl b/projects/dac_fmc_ebz/zcu102/system_project.tcl index b7eaab66e..eeb75a3a8 100644 --- a/projects/dac_fmc_ebz/zcu102/system_project.tcl +++ b/projects/dac_fmc_ebz/zcu102/system_project.tcl @@ -17,7 +17,7 @@ adi_project dac_fmc_ebz_zcu102 0 [list \ adi_project_files dac_fmc_ebz_zcu102 [list \ "system_top.v" \ "system_constr.xdc"\ - "$ad_hdl_dir/library/xilinx/common/ad_iobuf.v" \ + "$ad_hdl_dir/library/common/ad_iobuf.v" \ "$ad_hdl_dir/projects/common/zcu102/zcu102_system_constr.xdc" ] adi_project_run dac_fmc_ebz_zcu102 diff --git a/projects/daq2/kc705/Makefile b/projects/daq2/kc705/Makefile index 478287199..d2b578cb3 100644 --- a/projects/daq2/kc705/Makefile +++ b/projects/daq2/kc705/Makefile @@ -12,8 +12,8 @@ M_DEPS += ../../common/xilinx/adcfifo_bd.tcl M_DEPS += ../../common/kc705/kc705_system_mig.prj M_DEPS += ../../common/kc705/kc705_system_constr.xdc M_DEPS += ../../common/kc705/kc705_system_bd.tcl -M_DEPS += ../../../library/xilinx/common/ad_iobuf.v M_DEPS += ../../../library/jesd204/scripts/jesd204.tcl +M_DEPS += ../../../library/common/ad_iobuf.v LIB_DEPS += axi_dmac LIB_DEPS += axi_sysid diff --git a/projects/daq2/kc705/system_project.tcl b/projects/daq2/kc705/system_project.tcl index 7060a6b8e..ee63bb25b 100644 --- a/projects/daq2/kc705/system_project.tcl +++ b/projects/daq2/kc705/system_project.tcl @@ -8,7 +8,7 @@ adi_project_files daq2_kc705 [list \ "../common/daq2_spi.v" \ "system_top.v" \ "system_constr.xdc"\ - "$ad_hdl_dir/library/xilinx/common/ad_iobuf.v" \ + "$ad_hdl_dir/library/common/ad_iobuf.v" \ "$ad_hdl_dir/projects/common/kc705/kc705_system_constr.xdc" ] adi_project_run daq2_kc705 diff --git a/projects/daq2/kcu105/Makefile b/projects/daq2/kcu105/Makefile index a2d83f111..35b150725 100644 --- a/projects/daq2/kcu105/Makefile +++ b/projects/daq2/kcu105/Makefile @@ -11,8 +11,8 @@ M_DEPS += ../../common/xilinx/dacfifo_bd.tcl M_DEPS += ../../common/xilinx/adcfifo_bd.tcl M_DEPS += ../../common/kcu105/kcu105_system_constr.xdc M_DEPS += ../../common/kcu105/kcu105_system_bd.tcl -M_DEPS += ../../../library/xilinx/common/ad_iobuf.v M_DEPS += ../../../library/jesd204/scripts/jesd204.tcl +M_DEPS += ../../../library/common/ad_iobuf.v LIB_DEPS += axi_dmac LIB_DEPS += axi_sysid diff --git a/projects/daq2/kcu105/system_project.tcl b/projects/daq2/kcu105/system_project.tcl index 59abf885e..7a17eb8d8 100644 --- a/projects/daq2/kcu105/system_project.tcl +++ b/projects/daq2/kcu105/system_project.tcl @@ -8,7 +8,7 @@ adi_project_files daq2_kcu105 [list \ "../common/daq2_spi.v" \ "system_top.v" \ "system_constr.xdc"\ - "$ad_hdl_dir/library/xilinx/common/ad_iobuf.v" \ + "$ad_hdl_dir/library/common/ad_iobuf.v" \ "$ad_hdl_dir/projects/common/kcu105/kcu105_system_constr.xdc" ] ## To improve timing in DDR4 MIG diff --git a/projects/daq2/zc706/Makefile b/projects/daq2/zc706/Makefile index 93e540b25..17ba06b5a 100644 --- a/projects/daq2/zc706/Makefile +++ b/projects/daq2/zc706/Makefile @@ -12,8 +12,8 @@ M_DEPS += ../../common/zc706/zc706_system_bd.tcl M_DEPS += ../../common/zc706/zc706_plddr3_constr.xdc M_DEPS += ../../common/zc706/zc706_plddr3_adcfifo_bd.tcl M_DEPS += ../../common/xilinx/dacfifo_bd.tcl -M_DEPS += ../../../library/xilinx/common/ad_iobuf.v M_DEPS += ../../../library/jesd204/scripts/jesd204.tcl +M_DEPS += ../../../library/common/ad_iobuf.v LIB_DEPS += axi_clkgen LIB_DEPS += axi_dmac diff --git a/projects/daq2/zc706/system_project.tcl b/projects/daq2/zc706/system_project.tcl index 43fbefeab..bd454f678 100644 --- a/projects/daq2/zc706/system_project.tcl +++ b/projects/daq2/zc706/system_project.tcl @@ -8,7 +8,7 @@ adi_project_files daq2_zc706 [list \ "../common/daq2_spi.v" \ "system_top.v" \ "system_constr.xdc"\ - "$ad_hdl_dir/library/xilinx/common/ad_iobuf.v" \ + "$ad_hdl_dir/library/common/ad_iobuf.v" \ "$ad_hdl_dir/projects/common/zc706/zc706_plddr3_constr.xdc" \ "$ad_hdl_dir/projects/common/zc706/zc706_system_constr.xdc" ] diff --git a/projects/daq2/zcu102/Makefile b/projects/daq2/zcu102/Makefile index 1fca89950..ab31f8f02 100644 --- a/projects/daq2/zcu102/Makefile +++ b/projects/daq2/zcu102/Makefile @@ -11,8 +11,8 @@ M_DEPS += ../../common/zcu102/zcu102_system_constr.xdc M_DEPS += ../../common/zcu102/zcu102_system_bd.tcl M_DEPS += ../../common/xilinx/dacfifo_bd.tcl M_DEPS += ../../common/xilinx/adcfifo_bd.tcl -M_DEPS += ../../../library/xilinx/common/ad_iobuf.v M_DEPS += ../../../library/jesd204/scripts/jesd204.tcl +M_DEPS += ../../../library/common/ad_iobuf.v LIB_DEPS += axi_dmac LIB_DEPS += axi_sysid diff --git a/projects/daq2/zcu102/system_project.tcl b/projects/daq2/zcu102/system_project.tcl index dd6195aa6..317bc19dd 100644 --- a/projects/daq2/zcu102/system_project.tcl +++ b/projects/daq2/zcu102/system_project.tcl @@ -8,7 +8,7 @@ adi_project_files daq2_zcu102 [list \ "../common/daq2_spi.v" \ "system_top.v" \ "system_constr.xdc"\ - "$ad_hdl_dir/library/xilinx/common/ad_iobuf.v" \ + "$ad_hdl_dir/library/common/ad_iobuf.v" \ "$ad_hdl_dir/projects/common/zcu102/zcu102_system_constr.xdc" ] adi_project_run daq2_zcu102 diff --git a/projects/daq3/a10gx/Makefile b/projects/daq3/a10gx/Makefile index 089ca20cb..80c160a5d 100644 --- a/projects/daq3/a10gx/Makefile +++ b/projects/daq3/a10gx/Makefile @@ -12,11 +12,11 @@ M_DEPS += ../../common/intel/dacfifo_qsys.tcl M_DEPS += ../../common/a10gx/a10gx_system_qsys.tcl M_DEPS += ../../common/a10gx/a10gx_system_assign.tcl -LIB_DEPS += axi_ad9152 -LIB_DEPS += axi_ad9680 LIB_DEPS += axi_dmac LIB_DEPS += axi_sysid LIB_DEPS += intel/adi_jesd204 +LIB_DEPS += jesd204/ad_ip_jesd204_tpl_adc +LIB_DEPS += jesd204/ad_ip_jesd204_tpl_dac LIB_DEPS += sysid_rom LIB_DEPS += util_adcfifo LIB_DEPS += util_pack/util_cpack2 diff --git a/projects/daq3/kcu105/Makefile b/projects/daq3/kcu105/Makefile index 10f8da4a3..7b4f47751 100644 --- a/projects/daq3/kcu105/Makefile +++ b/projects/daq3/kcu105/Makefile @@ -11,13 +11,13 @@ M_DEPS += ../../common/xilinx/dacfifo_bd.tcl M_DEPS += ../../common/xilinx/adcfifo_bd.tcl M_DEPS += ../../common/kcu105/kcu105_system_constr.xdc M_DEPS += ../../common/kcu105/kcu105_system_bd.tcl -M_DEPS += ../../../library/xilinx/common/ad_iobuf.v M_DEPS += ../../../library/jesd204/scripts/jesd204.tcl +M_DEPS += ../../../library/common/ad_iobuf.v -LIB_DEPS += axi_ad9152 -LIB_DEPS += axi_ad9680 LIB_DEPS += axi_dmac LIB_DEPS += axi_sysid +LIB_DEPS += jesd204/ad_ip_jesd204_tpl_adc +LIB_DEPS += jesd204/ad_ip_jesd204_tpl_dac LIB_DEPS += jesd204/axi_jesd204_rx LIB_DEPS += jesd204/axi_jesd204_tx LIB_DEPS += jesd204/jesd204_rx diff --git a/projects/daq3/kcu105/system_project.tcl b/projects/daq3/kcu105/system_project.tcl index 072409771..3bf7b9bf0 100644 --- a/projects/daq3/kcu105/system_project.tcl +++ b/projects/daq3/kcu105/system_project.tcl @@ -8,7 +8,7 @@ adi_project_files daq3_kcu105 [list \ "../common/daq3_spi.v" \ "system_top.v" \ "system_constr.xdc"\ - "$ad_hdl_dir/library/xilinx/common/ad_iobuf.v" \ + "$ad_hdl_dir/library/common/ad_iobuf.v" \ "$ad_hdl_dir/projects/common/kcu105/kcu105_system_constr.xdc" ] ## To improve timing in DDR4 MIG diff --git a/projects/daq3/vcu118/Makefile b/projects/daq3/vcu118/Makefile index 87dc79ed0..5a445030e 100644 --- a/projects/daq3/vcu118/Makefile +++ b/projects/daq3/vcu118/Makefile @@ -11,13 +11,13 @@ M_DEPS += ../../common/xilinx/dacfifo_bd.tcl M_DEPS += ../../common/xilinx/adcfifo_bd.tcl M_DEPS += ../../common/vcu118/vcu118_system_constr.xdc M_DEPS += ../../common/vcu118/vcu118_system_bd.tcl -M_DEPS += ../../../library/xilinx/common/ad_iobuf.v M_DEPS += ../../../library/jesd204/scripts/jesd204.tcl +M_DEPS += ../../../library/common/ad_iobuf.v -LIB_DEPS += axi_ad9152 -LIB_DEPS += axi_ad9680 LIB_DEPS += axi_dmac LIB_DEPS += axi_sysid +LIB_DEPS += jesd204/ad_ip_jesd204_tpl_adc +LIB_DEPS += jesd204/ad_ip_jesd204_tpl_dac LIB_DEPS += jesd204/axi_jesd204_rx LIB_DEPS += jesd204/axi_jesd204_tx LIB_DEPS += jesd204/jesd204_rx diff --git a/projects/daq3/vcu118/system_project.tcl b/projects/daq3/vcu118/system_project.tcl index 6d9862278..070ff6c98 100644 --- a/projects/daq3/vcu118/system_project.tcl +++ b/projects/daq3/vcu118/system_project.tcl @@ -8,7 +8,7 @@ adi_project_files daq3_vcu118 [list \ "../common/daq3_spi.v" \ "system_top.v" \ "system_constr.xdc"\ - "$ad_hdl_dir/library/xilinx/common/ad_iobuf.v" \ + "$ad_hdl_dir/library/common/ad_iobuf.v" \ "$ad_hdl_dir/projects/common/vcu118/vcu118_system_constr.xdc" ] ## To improve timing in DDR4 MIG diff --git a/projects/daq3/zc706/Makefile b/projects/daq3/zc706/Makefile index 2c2cedef3..ec900cc24 100644 --- a/projects/daq3/zc706/Makefile +++ b/projects/daq3/zc706/Makefile @@ -12,16 +12,16 @@ M_DEPS += ../../common/zc706/zc706_system_bd.tcl M_DEPS += ../../common/zc706/zc706_plddr3_constr.xdc M_DEPS += ../../common/zc706/zc706_plddr3_adcfifo_bd.tcl M_DEPS += ../../common/xilinx/dacfifo_bd.tcl -M_DEPS += ../../../library/xilinx/common/ad_iobuf.v M_DEPS += ../../../library/jesd204/scripts/jesd204.tcl +M_DEPS += ../../../library/common/ad_iobuf.v -LIB_DEPS += axi_ad9152 -LIB_DEPS += axi_ad9680 LIB_DEPS += axi_clkgen LIB_DEPS += axi_dmac LIB_DEPS += axi_hdmi_tx LIB_DEPS += axi_spdif_tx LIB_DEPS += axi_sysid +LIB_DEPS += jesd204/ad_ip_jesd204_tpl_adc +LIB_DEPS += jesd204/ad_ip_jesd204_tpl_dac LIB_DEPS += jesd204/axi_jesd204_rx LIB_DEPS += jesd204/axi_jesd204_tx LIB_DEPS += jesd204/jesd204_rx diff --git a/projects/daq3/zc706/system_project.tcl b/projects/daq3/zc706/system_project.tcl index 9e75c2f12..2d174170b 100644 --- a/projects/daq3/zc706/system_project.tcl +++ b/projects/daq3/zc706/system_project.tcl @@ -10,7 +10,7 @@ adi_project_files daq3_zc706 [list \ "../common/daq3_spi.v" \ "system_top.v" \ "system_constr.xdc"\ - "$ad_hdl_dir/library/xilinx/common/ad_iobuf.v" \ + "$ad_hdl_dir/library/common/ad_iobuf.v" \ "$ad_hdl_dir/projects/common/zc706/zc706_plddr3_constr.xdc" \ "$ad_hdl_dir/projects/common/zc706/zc706_system_constr.xdc" ] diff --git a/projects/daq3/zcu102/Makefile b/projects/daq3/zcu102/Makefile index 3165e242c..a93aa686d 100644 --- a/projects/daq3/zcu102/Makefile +++ b/projects/daq3/zcu102/Makefile @@ -10,13 +10,13 @@ M_DEPS += ../common/daq3_bd.tcl M_DEPS += ../../common/zcu102/zcu102_system_constr.xdc M_DEPS += ../../common/zcu102/zcu102_system_bd.tcl M_DEPS += ../../common/xilinx/dacfifo_bd.tcl -M_DEPS += ../../../library/xilinx/common/ad_iobuf.v M_DEPS += ../../../library/jesd204/scripts/jesd204.tcl +M_DEPS += ../../../library/common/ad_iobuf.v -LIB_DEPS += axi_ad9152 -LIB_DEPS += axi_ad9680 LIB_DEPS += axi_dmac LIB_DEPS += axi_sysid +LIB_DEPS += jesd204/ad_ip_jesd204_tpl_adc +LIB_DEPS += jesd204/ad_ip_jesd204_tpl_dac LIB_DEPS += jesd204/axi_jesd204_rx LIB_DEPS += jesd204/axi_jesd204_tx LIB_DEPS += jesd204/jesd204_rx diff --git a/projects/daq3/zcu102/system_project.tcl b/projects/daq3/zcu102/system_project.tcl index f339cfa60..2fbac7381 100644 --- a/projects/daq3/zcu102/system_project.tcl +++ b/projects/daq3/zcu102/system_project.tcl @@ -8,7 +8,7 @@ adi_project_files daq3_zcu102 [list \ "../common/daq3_spi.v" \ "system_top.v" \ "system_constr.xdc"\ - "$ad_hdl_dir/library/xilinx/common/ad_iobuf.v" \ + "$ad_hdl_dir/library/common/ad_iobuf.v" \ "$ad_hdl_dir/projects/common/zcu102/zcu102_system_constr.xdc" ] adi_project_run daq3_zcu102 diff --git a/projects/fmcadc2/vc707/Makefile b/projects/fmcadc2/vc707/Makefile index 069ae8d97..808e1f526 100644 --- a/projects/fmcadc2/vc707/Makefile +++ b/projects/fmcadc2/vc707/Makefile @@ -11,9 +11,9 @@ M_DEPS += ../../common/xilinx/adcfifo_bd.tcl M_DEPS += ../../common/vc707/vc707_system_mig.prj M_DEPS += ../../common/vc707/vc707_system_constr.xdc M_DEPS += ../../common/vc707/vc707_system_bd.tcl -M_DEPS += ../../../library/xilinx/common/ad_iobuf.v M_DEPS += ../../../library/jesd204/scripts/jesd204.tcl M_DEPS += ../../../library/common/ad_sysref_gen.v +M_DEPS += ../../../library/common/ad_iobuf.v LIB_DEPS += axi_ad9625 LIB_DEPS += axi_dmac diff --git a/projects/fmcadc2/vc707/system_project.tcl b/projects/fmcadc2/vc707/system_project.tcl index c07d7b989..b7810b572 100644 --- a/projects/fmcadc2/vc707/system_project.tcl +++ b/projects/fmcadc2/vc707/system_project.tcl @@ -10,7 +10,7 @@ adi_project_files fmcadc2_vc707 [list \ "../common/fmcadc2_spi.v" \ "system_top.v" \ "system_constr.xdc" \ - "$ad_hdl_dir/library/xilinx/common/ad_iobuf.v" \ + "$ad_hdl_dir/library/common/ad_iobuf.v" \ "$ad_hdl_dir/library/common/ad_sysref_gen.v" \ "$ad_hdl_dir/projects/common/vc707/vc707_system_constr.xdc" ] diff --git a/projects/fmcadc2/zc706/Makefile b/projects/fmcadc2/zc706/Makefile index 628663ea0..de99b3cbf 100644 --- a/projects/fmcadc2/zc706/Makefile +++ b/projects/fmcadc2/zc706/Makefile @@ -11,9 +11,9 @@ M_DEPS += ../../common/zc706/zc706_system_constr.xdc M_DEPS += ../../common/zc706/zc706_system_bd.tcl M_DEPS += ../../common/zc706/zc706_plddr3_constr.xdc M_DEPS += ../../common/zc706/zc706_plddr3_adcfifo_bd.tcl -M_DEPS += ../../../library/xilinx/common/ad_iobuf.v M_DEPS += ../../../library/jesd204/scripts/jesd204.tcl M_DEPS += ../../../library/common/ad_sysref_gen.v +M_DEPS += ../../../library/common/ad_iobuf.v LIB_DEPS += axi_ad9625 LIB_DEPS += axi_clkgen diff --git a/projects/fmcadc2/zc706/system_project.tcl b/projects/fmcadc2/zc706/system_project.tcl index 5a957f627..40fedd35b 100644 --- a/projects/fmcadc2/zc706/system_project.tcl +++ b/projects/fmcadc2/zc706/system_project.tcl @@ -8,7 +8,7 @@ adi_project_files fmcadc2_zc706 [list \ "../common/fmcadc2_spi.v" \ "system_top.v" \ "system_constr.xdc" \ - "$ad_hdl_dir/library/xilinx/common/ad_iobuf.v" \ + "$ad_hdl_dir/library/common/ad_iobuf.v" \ "$ad_hdl_dir/library/common/ad_sysref_gen.v" \ "$ad_hdl_dir/projects/common/zc706/zc706_plddr3_constr.xdc" \ "$ad_hdl_dir/projects/common/zc706/zc706_system_constr.xdc" ] diff --git a/projects/fmcadc5/vc707/Makefile b/projects/fmcadc5/vc707/Makefile index 891b995b3..fbe88fb8e 100644 --- a/projects/fmcadc5/vc707/Makefile +++ b/projects/fmcadc5/vc707/Makefile @@ -11,8 +11,8 @@ M_DEPS += ../../common/xilinx/adcfifo_bd.tcl M_DEPS += ../../common/vc707/vc707_system_mig.prj M_DEPS += ../../common/vc707/vc707_system_constr.xdc M_DEPS += ../../common/vc707/vc707_system_bd.tcl -M_DEPS += ../../../library/xilinx/common/ad_iobuf.v M_DEPS += ../../../library/jesd204/scripts/jesd204.tcl +M_DEPS += ../../../library/common/ad_iobuf.v LIB_DEPS += axi_ad9625 LIB_DEPS += axi_dmac diff --git a/projects/fmcadc5/vc707/system_project.tcl b/projects/fmcadc5/vc707/system_project.tcl index 038261bb2..430c9ea56 100644 --- a/projects/fmcadc5/vc707/system_project.tcl +++ b/projects/fmcadc5/vc707/system_project.tcl @@ -8,7 +8,7 @@ source $ad_hdl_dir/projects/scripts/adi_board.tcl adi_project fmcadc5_vc707 adi_project_files fmcadc5_vc707 [list \ "$ad_hdl_dir/projects/common/vc707/vc707_system_constr.xdc" \ - "$ad_hdl_dir/library/xilinx/common/ad_iobuf.v" \ + "$ad_hdl_dir/library/common/ad_iobuf.v" \ "../common/fmcadc5_spi.v" \ "system_constr.xdc"\ "system_top.v"] diff --git a/projects/fmcjesdadc1/kc705/Makefile b/projects/fmcjesdadc1/kc705/Makefile index ab5d9b64d..aab4fb3b4 100644 --- a/projects/fmcjesdadc1/kc705/Makefile +++ b/projects/fmcjesdadc1/kc705/Makefile @@ -10,9 +10,9 @@ M_DEPS += ../common/fmcjesdadc1_bd.tcl M_DEPS += ../../common/kc705/kc705_system_mig.prj M_DEPS += ../../common/kc705/kc705_system_constr.xdc M_DEPS += ../../common/kc705/kc705_system_bd.tcl -M_DEPS += ../../../library/xilinx/common/ad_iobuf.v M_DEPS += ../../../library/jesd204/scripts/jesd204.tcl M_DEPS += ../../../library/common/ad_sysref_gen.v +M_DEPS += ../../../library/common/ad_iobuf.v LIB_DEPS += axi_ad9250 LIB_DEPS += axi_dmac diff --git a/projects/fmcjesdadc1/kc705/system_project.tcl b/projects/fmcjesdadc1/kc705/system_project.tcl index f23675059..5ed8177e8 100644 --- a/projects/fmcjesdadc1/kc705/system_project.tcl +++ b/projects/fmcjesdadc1/kc705/system_project.tcl @@ -8,7 +8,7 @@ adi_project_files fmcjesdadc1_kc705 [list \ "../common/fmcjesdadc1_spi.v" \ "system_top.v" \ "system_constr.xdc" \ - "$ad_hdl_dir/library/xilinx/common/ad_iobuf.v" \ + "$ad_hdl_dir/library/common/ad_iobuf.v" \ "$ad_hdl_dir/library/common/ad_sysref_gen.v" \ "$ad_hdl_dir/projects/common/kc705/kc705_system_constr.xdc" ] diff --git a/projects/fmcjesdadc1/vc707/Makefile b/projects/fmcjesdadc1/vc707/Makefile index 916a27ac2..703f9f2c1 100644 --- a/projects/fmcjesdadc1/vc707/Makefile +++ b/projects/fmcjesdadc1/vc707/Makefile @@ -10,9 +10,9 @@ M_DEPS += ../common/fmcjesdadc1_bd.tcl M_DEPS += ../../common/vc707/vc707_system_mig.prj M_DEPS += ../../common/vc707/vc707_system_constr.xdc M_DEPS += ../../common/vc707/vc707_system_bd.tcl -M_DEPS += ../../../library/xilinx/common/ad_iobuf.v M_DEPS += ../../../library/jesd204/scripts/jesd204.tcl M_DEPS += ../../../library/common/ad_sysref_gen.v +M_DEPS += ../../../library/common/ad_iobuf.v LIB_DEPS += axi_ad9250 LIB_DEPS += axi_dmac diff --git a/projects/fmcjesdadc1/vc707/system_project.tcl b/projects/fmcjesdadc1/vc707/system_project.tcl index dadf1a7de..04114c834 100644 --- a/projects/fmcjesdadc1/vc707/system_project.tcl +++ b/projects/fmcjesdadc1/vc707/system_project.tcl @@ -5,7 +5,7 @@ source $ad_hdl_dir/projects/scripts/adi_board.tcl adi_project fmcjesdadc1_vc707 adi_project_files fmcjesdadc1_vc707 [list \ - "$ad_hdl_dir/library/xilinx/common/ad_iobuf.v" \ + "$ad_hdl_dir/library/common/ad_iobuf.v" \ "$ad_hdl_dir/library/common/ad_sysref_gen.v" \ "../common/fmcjesdadc1_spi.v" \ "system_top.v" \ diff --git a/projects/fmcjesdadc1/zc706/Makefile b/projects/fmcjesdadc1/zc706/Makefile index 656cf4d89..a0e58e42f 100644 --- a/projects/fmcjesdadc1/zc706/Makefile +++ b/projects/fmcjesdadc1/zc706/Makefile @@ -9,9 +9,9 @@ M_DEPS += ../common/fmcjesdadc1_spi.v M_DEPS += ../common/fmcjesdadc1_bd.tcl M_DEPS += ../../common/zc706/zc706_system_constr.xdc M_DEPS += ../../common/zc706/zc706_system_bd.tcl -M_DEPS += ../../../library/xilinx/common/ad_iobuf.v M_DEPS += ../../../library/jesd204/scripts/jesd204.tcl M_DEPS += ../../../library/common/ad_sysref_gen.v +M_DEPS += ../../../library/common/ad_iobuf.v LIB_DEPS += axi_ad9250 LIB_DEPS += axi_clkgen diff --git a/projects/fmcjesdadc1/zc706/system_project.tcl b/projects/fmcjesdadc1/zc706/system_project.tcl index 524f845ed..896199138 100644 --- a/projects/fmcjesdadc1/zc706/system_project.tcl +++ b/projects/fmcjesdadc1/zc706/system_project.tcl @@ -5,7 +5,7 @@ source $ad_hdl_dir/projects/scripts/adi_board.tcl adi_project fmcjesdadc1_zc706 adi_project_files fmcjesdadc1_zc706 [list \ - "$ad_hdl_dir/library/xilinx/common/ad_iobuf.v" \ + "$ad_hdl_dir/library/common/ad_iobuf.v" \ "$ad_hdl_dir/library/common/ad_sysref_gen.v" \ "../common/fmcjesdadc1_spi.v" \ "system_top.v" \ diff --git a/projects/fmcomms11/zc706/Makefile b/projects/fmcomms11/zc706/Makefile index 12b11e94a..9b5babf01 100644 --- a/projects/fmcomms11/zc706/Makefile +++ b/projects/fmcomms11/zc706/Makefile @@ -12,8 +12,8 @@ M_DEPS += ../../common/zc706/zc706_system_bd.tcl M_DEPS += ../../common/zc706/zc706_plddr3_constr.xdc M_DEPS += ../../common/zc706/zc706_plddr3_adcfifo_bd.tcl M_DEPS += ../../common/xilinx/dacfifo_bd.tcl -M_DEPS += ../../../library/xilinx/common/ad_iobuf.v M_DEPS += ../../../library/jesd204/scripts/jesd204.tcl +M_DEPS += ../../../library/common/ad_iobuf.v LIB_DEPS += axi_clkgen LIB_DEPS += axi_dmac diff --git a/projects/fmcomms11/zc706/system_project.tcl b/projects/fmcomms11/zc706/system_project.tcl index aab4c9ed5..517936da8 100644 --- a/projects/fmcomms11/zc706/system_project.tcl +++ b/projects/fmcomms11/zc706/system_project.tcl @@ -8,7 +8,7 @@ adi_project_files fmcomms11_zc706 [list \ "../common/fmcomms11_spi.v" \ "system_top.v" \ "system_constr.xdc"\ - "$ad_hdl_dir/library/xilinx/common/ad_iobuf.v" \ + "$ad_hdl_dir/library/common/ad_iobuf.v" \ "$ad_hdl_dir/projects/common/zc706/zc706_plddr3_constr.xdc" \ "$ad_hdl_dir/projects/common/zc706/zc706_system_constr.xdc" ] diff --git a/projects/fmcomms2/kc705/Makefile b/projects/fmcomms2/kc705/Makefile index b79ab572f..7e5ba5433 100644 --- a/projects/fmcomms2/kc705/Makefile +++ b/projects/fmcomms2/kc705/Makefile @@ -9,7 +9,7 @@ M_DEPS += ../common/fmcomms2_bd.tcl M_DEPS += ../../common/kc705/kc705_system_mig.prj M_DEPS += ../../common/kc705/kc705_system_constr.xdc M_DEPS += ../../common/kc705/kc705_system_bd.tcl -M_DEPS += ../../../library/xilinx/common/ad_iobuf.v +M_DEPS += ../../../library/common/ad_iobuf.v M_DEPS += ../../../library/axi_ad9361/axi_ad9361_delay.tcl LIB_DEPS += axi_ad9361 diff --git a/projects/fmcomms2/kc705/system_project.tcl b/projects/fmcomms2/kc705/system_project.tcl index f0368d309..964fdea95 100644 --- a/projects/fmcomms2/kc705/system_project.tcl +++ b/projects/fmcomms2/kc705/system_project.tcl @@ -7,7 +7,7 @@ adi_project fmcomms2_kc705 adi_project_files fmcomms2_kc705 [list \ "system_top.v" \ "system_constr.xdc"\ - "$ad_hdl_dir/library/xilinx/common/ad_iobuf.v" \ + "$ad_hdl_dir/library/common/ad_iobuf.v" \ "$ad_hdl_dir/projects/common/kc705/kc705_system_constr.xdc" ] adi_project_run fmcomms2_kc705 diff --git a/projects/fmcomms2/kcu105/Makefile b/projects/fmcomms2/kcu105/Makefile index 8b5d2baa8..81a7c1b31 100644 --- a/projects/fmcomms2/kcu105/Makefile +++ b/projects/fmcomms2/kcu105/Makefile @@ -10,7 +10,7 @@ M_DEPS += ../../common/kcu105/kcu105_system_mig.tcl M_DEPS += ../../common/kcu105/kcu105_system_lutram_constr.xdc M_DEPS += ../../common/kcu105/kcu105_system_constr.xdc M_DEPS += ../../common/kcu105/kcu105_system_bd.tcl -M_DEPS += ../../../library/xilinx/common/ad_iobuf.v +M_DEPS += ../../../library/common/ad_iobuf.v M_DEPS += ../../../library/axi_ad9361/axi_ad9361_delay.tcl LIB_DEPS += axi_ad9361 diff --git a/projects/fmcomms2/kcu105/system_project.tcl b/projects/fmcomms2/kcu105/system_project.tcl index d65cc5900..723872c8b 100644 --- a/projects/fmcomms2/kcu105/system_project.tcl +++ b/projects/fmcomms2/kcu105/system_project.tcl @@ -7,7 +7,7 @@ adi_project fmcomms2_kcu105 adi_project_files fmcomms2_kcu105 [list \ "system_top.v" \ "system_constr.xdc"\ - "$ad_hdl_dir/library/xilinx/common/ad_iobuf.v" \ + "$ad_hdl_dir/library/common/ad_iobuf.v" \ "$ad_hdl_dir/projects/common/kcu105/kcu105_system_constr.xdc" \ "$ad_hdl_dir/projects/common/kcu105/kcu105_system_lutram_constr.xdc" ] diff --git a/projects/fmcomms2/vc707/Makefile b/projects/fmcomms2/vc707/Makefile index 1d6627d4e..2c6444376 100644 --- a/projects/fmcomms2/vc707/Makefile +++ b/projects/fmcomms2/vc707/Makefile @@ -9,7 +9,7 @@ M_DEPS += ../common/fmcomms2_bd.tcl M_DEPS += ../../common/vc707/vc707_system_mig.prj M_DEPS += ../../common/vc707/vc707_system_constr.xdc M_DEPS += ../../common/vc707/vc707_system_bd.tcl -M_DEPS += ../../../library/xilinx/common/ad_iobuf.v +M_DEPS += ../../../library/common/ad_iobuf.v M_DEPS += ../../../library/axi_ad9361/axi_ad9361_delay.tcl LIB_DEPS += axi_ad9361 diff --git a/projects/fmcomms2/vc707/system_project.tcl b/projects/fmcomms2/vc707/system_project.tcl index ea637c887..a5c9dac7e 100644 --- a/projects/fmcomms2/vc707/system_project.tcl +++ b/projects/fmcomms2/vc707/system_project.tcl @@ -7,7 +7,7 @@ adi_project fmcomms2_vc707 adi_project_files fmcomms2_vc707 [list \ "system_top.v" \ "system_constr.xdc"\ - "$ad_hdl_dir/library/xilinx/common/ad_iobuf.v" \ + "$ad_hdl_dir/library/common/ad_iobuf.v" \ "$ad_hdl_dir/projects/common/vc707/vc707_system_constr.xdc" ] adi_project_run fmcomms2_vc707 diff --git a/projects/fmcomms2/zc702/Makefile b/projects/fmcomms2/zc702/Makefile index 288ea8f79..1bae7fc18 100644 --- a/projects/fmcomms2/zc702/Makefile +++ b/projects/fmcomms2/zc702/Makefile @@ -8,7 +8,7 @@ PROJECT_NAME := fmcomms2_zc702 M_DEPS += ../common/fmcomms2_bd.tcl M_DEPS += ../../common/zc702/zc702_system_constr.xdc M_DEPS += ../../common/zc702/zc702_system_bd.tcl -M_DEPS += ../../../library/xilinx/common/ad_iobuf.v +M_DEPS += ../../../library/common/ad_iobuf.v M_DEPS += ../../../library/axi_ad9361/axi_ad9361_delay.tcl LIB_DEPS += axi_ad9361 diff --git a/projects/fmcomms2/zc702/system_project.tcl b/projects/fmcomms2/zc702/system_project.tcl index f85938248..ae9f036a2 100644 --- a/projects/fmcomms2/zc702/system_project.tcl +++ b/projects/fmcomms2/zc702/system_project.tcl @@ -7,7 +7,7 @@ adi_project fmcomms2_zc702 adi_project_files fmcomms2_zc702 [list \ "system_top.v" \ "system_constr.xdc" \ - "$ad_hdl_dir/library/xilinx/common/ad_iobuf.v" \ + "$ad_hdl_dir/library/common/ad_iobuf.v" \ "$ad_hdl_dir/projects/common/zc702/zc702_system_constr.xdc" ] set_property strategy Performance_Explore [get_runs impl_1] diff --git a/projects/fmcomms2/zc706/Makefile b/projects/fmcomms2/zc706/Makefile index 5b14aabde..5eeeb3fc5 100644 --- a/projects/fmcomms2/zc706/Makefile +++ b/projects/fmcomms2/zc706/Makefile @@ -8,7 +8,7 @@ PROJECT_NAME := fmcomms2_zc706 M_DEPS += ../common/fmcomms2_bd.tcl M_DEPS += ../../common/zc706/zc706_system_constr.xdc M_DEPS += ../../common/zc706/zc706_system_bd.tcl -M_DEPS += ../../../library/xilinx/common/ad_iobuf.v +M_DEPS += ../../../library/common/ad_iobuf.v M_DEPS += ../../../library/axi_ad9361/axi_ad9361_delay.tcl LIB_DEPS += axi_ad9361 diff --git a/projects/fmcomms2/zc706/system_project.tcl b/projects/fmcomms2/zc706/system_project.tcl index b1b4d025b..5cb7a55ae 100644 --- a/projects/fmcomms2/zc706/system_project.tcl +++ b/projects/fmcomms2/zc706/system_project.tcl @@ -7,7 +7,7 @@ adi_project fmcomms2_zc706 adi_project_files fmcomms2_zc706 [list \ "system_top.v" \ "system_constr.xdc"\ - "$ad_hdl_dir/library/xilinx/common/ad_iobuf.v" \ + "$ad_hdl_dir/library/common/ad_iobuf.v" \ "$ad_hdl_dir/projects/common/zc706/zc706_system_constr.xdc" ] adi_project_run fmcomms2_zc706 diff --git a/projects/fmcomms2/zcu102/Makefile b/projects/fmcomms2/zcu102/Makefile index eb8daf66d..9c15a06b2 100644 --- a/projects/fmcomms2/zcu102/Makefile +++ b/projects/fmcomms2/zcu102/Makefile @@ -8,7 +8,7 @@ PROJECT_NAME := fmcomms2_zcu102 M_DEPS += ../common/fmcomms2_bd.tcl M_DEPS += ../../common/zcu102/zcu102_system_constr.xdc M_DEPS += ../../common/zcu102/zcu102_system_bd.tcl -M_DEPS += ../../../library/xilinx/common/ad_iobuf.v +M_DEPS += ../../../library/common/ad_iobuf.v M_DEPS += ../../../library/axi_ad9361/axi_ad9361_delay.tcl LIB_DEPS += axi_ad9361 diff --git a/projects/fmcomms2/zcu102/system_project.tcl b/projects/fmcomms2/zcu102/system_project.tcl index 302bebd28..c9f1418e3 100644 --- a/projects/fmcomms2/zcu102/system_project.tcl +++ b/projects/fmcomms2/zcu102/system_project.tcl @@ -7,7 +7,7 @@ adi_project fmcomms2_zcu102 adi_project_files fmcomms2_zcu102 [list \ "system_top.v" \ "system_constr.xdc"\ - "$ad_hdl_dir/library/xilinx/common/ad_iobuf.v" \ + "$ad_hdl_dir/library/common/ad_iobuf.v" \ "$ad_hdl_dir/projects/common/zcu102/zcu102_system_constr.xdc" ] adi_project_run fmcomms2_zcu102 diff --git a/projects/fmcomms2/zed/Makefile b/projects/fmcomms2/zed/Makefile index d8a62be18..f977de18a 100644 --- a/projects/fmcomms2/zed/Makefile +++ b/projects/fmcomms2/zed/Makefile @@ -8,7 +8,7 @@ PROJECT_NAME := fmcomms2_zed M_DEPS += ../common/fmcomms2_bd.tcl M_DEPS += ../../common/zed/zed_system_constr.xdc M_DEPS += ../../common/zed/zed_system_bd.tcl -M_DEPS += ../../../library/xilinx/common/ad_iobuf.v +M_DEPS += ../../../library/common/ad_iobuf.v M_DEPS += ../../../library/axi_ad9361/axi_ad9361_delay.tcl LIB_DEPS += axi_ad9361 diff --git a/projects/fmcomms2/zed/system_project.tcl b/projects/fmcomms2/zed/system_project.tcl index a02d2ba64..6653a47f7 100644 --- a/projects/fmcomms2/zed/system_project.tcl +++ b/projects/fmcomms2/zed/system_project.tcl @@ -7,7 +7,7 @@ adi_project fmcomms2_zed adi_project_files fmcomms2_zed [list \ "system_top.v" \ "system_constr.xdc"\ - "$ad_hdl_dir/library/xilinx/common/ad_iobuf.v" \ + "$ad_hdl_dir/library/common/ad_iobuf.v" \ "$ad_hdl_dir/projects/common/zed/zed_system_constr.xdc" ] adi_project_run fmcomms2_zed diff --git a/projects/fmcomms5/zc702/Makefile b/projects/fmcomms5/zc702/Makefile index d72a48367..f6dce4e22 100644 --- a/projects/fmcomms5/zc702/Makefile +++ b/projects/fmcomms5/zc702/Makefile @@ -8,7 +8,7 @@ PROJECT_NAME := fmcomms5_zc702 M_DEPS += ../common/fmcomms5_bd.tcl M_DEPS += ../../common/zc702/zc702_system_constr.xdc M_DEPS += ../../common/zc702/zc702_system_bd.tcl -M_DEPS += ../../../library/xilinx/common/ad_iobuf.v +M_DEPS += ../../../library/common/ad_iobuf.v M_DEPS += ../../../library/axi_ad9361/axi_ad9361_delay.tcl LIB_DEPS += axi_ad9361 diff --git a/projects/fmcomms5/zc702/system_project.tcl b/projects/fmcomms5/zc702/system_project.tcl index 6afaa6b06..0dcce751b 100644 --- a/projects/fmcomms5/zc702/system_project.tcl +++ b/projects/fmcomms5/zc702/system_project.tcl @@ -7,7 +7,7 @@ adi_project fmcomms5_zc702 adi_project_files fmcomms5_zc702 [list \ "system_top.v" \ "system_constr.xdc"\ - "$ad_hdl_dir/library/xilinx/common/ad_iobuf.v" \ + "$ad_hdl_dir/library/common/ad_iobuf.v" \ "$ad_hdl_dir/projects/common/zc702/zc702_system_constr.xdc" ] adi_project_run fmcomms5_zc702 diff --git a/projects/fmcomms5/zc706/Makefile b/projects/fmcomms5/zc706/Makefile index 462cf1ff7..3dd62fad0 100644 --- a/projects/fmcomms5/zc706/Makefile +++ b/projects/fmcomms5/zc706/Makefile @@ -8,7 +8,7 @@ PROJECT_NAME := fmcomms5_zc706 M_DEPS += ../common/fmcomms5_bd.tcl M_DEPS += ../../common/zc706/zc706_system_constr.xdc M_DEPS += ../../common/zc706/zc706_system_bd.tcl -M_DEPS += ../../../library/xilinx/common/ad_iobuf.v +M_DEPS += ../../../library/common/ad_iobuf.v M_DEPS += ../../../library/axi_ad9361/axi_ad9361_delay.tcl LIB_DEPS += axi_ad9361 diff --git a/projects/fmcomms5/zc706/system_project.tcl b/projects/fmcomms5/zc706/system_project.tcl index b634402b4..277be0456 100644 --- a/projects/fmcomms5/zc706/system_project.tcl +++ b/projects/fmcomms5/zc706/system_project.tcl @@ -7,7 +7,7 @@ adi_project fmcomms5_zc706 adi_project_files fmcomms5_zc706 [list \ "system_top.v" \ "system_constr.xdc"\ - "$ad_hdl_dir/library/xilinx/common/ad_iobuf.v" \ + "$ad_hdl_dir/library/common/ad_iobuf.v" \ "$ad_hdl_dir/projects/common/zc706/zc706_system_constr.xdc" ] adi_project_run fmcomms5_zc706 diff --git a/projects/fmcomms5/zcu102/Makefile b/projects/fmcomms5/zcu102/Makefile index 804246b25..aca6f8db2 100644 --- a/projects/fmcomms5/zcu102/Makefile +++ b/projects/fmcomms5/zcu102/Makefile @@ -8,7 +8,7 @@ PROJECT_NAME := fmcomms5_zcu102 M_DEPS += ../common/fmcomms5_bd.tcl M_DEPS += ../../common/zcu102/zcu102_system_constr.xdc M_DEPS += ../../common/zcu102/zcu102_system_bd.tcl -M_DEPS += ../../../library/xilinx/common/ad_iobuf.v +M_DEPS += ../../../library/common/ad_iobuf.v M_DEPS += ../../../library/axi_ad9361/axi_ad9361_delay.tcl LIB_DEPS += axi_ad9361 diff --git a/projects/fmcomms5/zcu102/system_project.tcl b/projects/fmcomms5/zcu102/system_project.tcl index 3511e38b2..34edd7694 100644 --- a/projects/fmcomms5/zcu102/system_project.tcl +++ b/projects/fmcomms5/zcu102/system_project.tcl @@ -7,7 +7,7 @@ adi_project fmcomms5_zcu102 adi_project_files fmcomms5_zcu102 [list \ "system_top.v" \ "system_constr.xdc"\ - "$ad_hdl_dir/library/xilinx/common/ad_iobuf.v" \ + "$ad_hdl_dir/library/common/ad_iobuf.v" \ "$ad_hdl_dir/projects/common/zcu102/zcu102_system_constr.xdc" ] adi_project_run fmcomms5_zcu102 diff --git a/projects/fmcomms8/a10soc/Makefile b/projects/fmcomms8/a10soc/Makefile index 1ada9a853..5082302d4 100755 --- a/projects/fmcomms8/a10soc/Makefile +++ b/projects/fmcomms8/a10soc/Makefile @@ -12,7 +12,7 @@ M_DEPS += ../../common/a10soc/a10soc_system_qsys.tcl M_DEPS += ../../common/a10soc/a10soc_system_assign.tcl M_DEPS += ../../common/a10soc/a10soc_plddr4_dacfifo_qsys.tcl M_DEPS += ../../common/a10soc/a10soc_plddr4_assign.tcl -M_DEPS += ../../../library/xilinx/common/ad_iobuf.v +M_DEPS += ../../../library/common/ad_iobuf.v LIB_DEPS += axi_dmac LIB_DEPS += axi_sysid diff --git a/projects/fmcomms8/a10soc/system_project.tcl b/projects/fmcomms8/a10soc/system_project.tcl index 96614bf62..e298ae0f4 100755 --- a/projects/fmcomms8/a10soc/system_project.tcl +++ b/projects/fmcomms8/a10soc/system_project.tcl @@ -10,7 +10,7 @@ source $ad_hdl_dir/projects/common/a10soc/a10soc_plddr4_assign.tcl # files set_global_assignment -name VERILOG_FILE ../common/fmcomms8_spi.v -set_global_assignment -name VERILOG_FILE $ad_hdl_dir/library/xilinx/common/ad_iobuf.v +set_global_assignment -name VERILOG_FILE $ad_hdl_dir/library/common/ad_iobuf.v # fmcomms8 diff --git a/projects/fmcomms8/zcu102/Makefile b/projects/fmcomms8/zcu102/Makefile index 661fe4520..db97d1ef7 100644 --- a/projects/fmcomms8/zcu102/Makefile +++ b/projects/fmcomms8/zcu102/Makefile @@ -10,8 +10,8 @@ M_DEPS += ../common/fmcomms8_bd.tcl M_DEPS += ../../common/zcu102/zcu102_system_constr.xdc M_DEPS += ../../common/zcu102/zcu102_system_bd.tcl M_DEPS += ../../common/xilinx/dacfifo_bd.tcl -M_DEPS += ../../../library/xilinx/common/ad_iobuf.v M_DEPS += ../../../library/jesd204/scripts/jesd204.tcl +M_DEPS += ../../../library/common/ad_iobuf.v LIB_DEPS += axi_dmac LIB_DEPS += axi_sysid diff --git a/projects/fmcomms8/zcu102/system_project.tcl b/projects/fmcomms8/zcu102/system_project.tcl index ac11d9ff8..e39c3f391 100644 --- a/projects/fmcomms8/zcu102/system_project.tcl +++ b/projects/fmcomms8/zcu102/system_project.tcl @@ -8,7 +8,7 @@ adi_project_files fmcomms8_zcu102 [list \ "system_top.v" \ "system_constr.xdc"\ "../common/fmcomms8_spi.v" \ - "$ad_hdl_dir/library/xilinx/common/ad_iobuf.v" \ + "$ad_hdl_dir/library/common/ad_iobuf.v" \ "$ad_hdl_dir/projects/common/zcu102/zcu102_system_constr.xdc" ] adi_project_run fmcomms8_zcu102 diff --git a/projects/imageon/zed/Makefile b/projects/imageon/zed/Makefile index 888ac16eb..aed59209b 100644 --- a/projects/imageon/zed/Makefile +++ b/projects/imageon/zed/Makefile @@ -7,7 +7,7 @@ PROJECT_NAME := imageon_zed M_DEPS += ../common/imageon_bd.tcl M_DEPS += ../../common/zed/zed_system_bd.tcl -M_DEPS += ../../../library/xilinx/common/ad_iobuf.v +M_DEPS += ../../../library/common/ad_iobuf.v LIB_DEPS += axi_clkgen LIB_DEPS += axi_dmac diff --git a/projects/imageon/zed/system_project.tcl b/projects/imageon/zed/system_project.tcl index 4addffa98..9fff54957 100644 --- a/projects/imageon/zed/system_project.tcl +++ b/projects/imageon/zed/system_project.tcl @@ -7,7 +7,7 @@ source $ad_hdl_dir/projects/scripts/adi_board.tcl adi_project imageon_zed adi_project_files imageon_zed [list \ "system_top.v" \ - "$ad_hdl_dir/library/xilinx/common/ad_iobuf.v" \ + "$ad_hdl_dir/library/common/ad_iobuf.v" \ "system_constr.xdc"] adi_project_run imageon_zed diff --git a/projects/m2k/standalone/Makefile b/projects/m2k/standalone/Makefile index 69bfd95c3..eea89490c 100644 --- a/projects/m2k/standalone/Makefile +++ b/projects/m2k/standalone/Makefile @@ -7,7 +7,7 @@ PROJECT_NAME := m2k M_DEPS += ../common/m2k_spi.v M_DEPS += ../common/m2k_bd.tcl -M_DEPS += ../../../library/xilinx/common/ad_iobuf.v +M_DEPS += ../../../library/common/ad_iobuf.v LIB_DEPS += axi_ad9963 LIB_DEPS += axi_adc_decimate diff --git a/projects/m2k/standalone/system_project.tcl b/projects/m2k/standalone/system_project.tcl index 08c9dd668..359a19c20 100644 --- a/projects/m2k/standalone/system_project.tcl +++ b/projects/m2k/standalone/system_project.tcl @@ -10,7 +10,7 @@ adi_project_files m2k [list \ "../common/m2k_spi.v" \ "system_top.v" \ "system_constr.xdc" \ - "$ad_hdl_dir/library/xilinx/common/ad_iobuf.v"] + "$ad_hdl_dir/library/common/ad_iobuf.v"] set_property is_enabled false [get_files *system_sys_ps7_0.xdc] diff --git a/projects/pluto/Makefile b/projects/pluto/Makefile index 8c2b0bdb9..4c133863d 100644 --- a/projects/pluto/Makefile +++ b/projects/pluto/Makefile @@ -7,9 +7,9 @@ PROJECT_NAME := pluto M_DEPS += ../common/xilinx/adi_fir_filter_constr.xdc M_DEPS += ../common/xilinx/adi_fir_filter_bd.tcl -M_DEPS += ../../library/xilinx/common/ad_iobuf.v M_DEPS += ../../library/util_cdc/sync_bits.v M_DEPS += ../../library/common/util_pulse_gen.v +M_DEPS += ../../library/common/ad_iobuf.v M_DEPS += ../../library/common/ad_bus_mux.v M_DEPS += ../../library/axi_ad9361/axi_ad9361_delay.tcl diff --git a/projects/pluto/system_project.tcl b/projects/pluto/system_project.tcl index c43065da3..89d81a448 100644 --- a/projects/pluto/system_project.tcl +++ b/projects/pluto/system_project.tcl @@ -9,7 +9,7 @@ adi_project pluto adi_project_files pluto [list \ "system_top.v" \ "system_constr.xdc" \ - "$ad_hdl_dir/library/xilinx/common/ad_iobuf.v"] + "$ad_hdl_dir/library/common/ad_iobuf.v"] set_property is_enabled false [get_files *system_sys_ps7_0.xdc] adi_project_run pluto diff --git a/projects/sidekiqz2/Makefile b/projects/sidekiqz2/Makefile index 18fd633a2..33a0fd78e 100755 --- a/projects/sidekiqz2/Makefile +++ b/projects/sidekiqz2/Makefile @@ -8,9 +8,9 @@ PROJECT_NAME := sidekiqz2 M_DEPS += ../pluto/system_bd.tcl M_DEPS += ../common/xilinx/adi_fir_filter_constr.xdc M_DEPS += ../common/xilinx/adi_fir_filter_bd.tcl -M_DEPS += ../../library/xilinx/common/ad_iobuf.v M_DEPS += ../../library/util_cdc/sync_bits.v M_DEPS += ../../library/common/util_pulse_gen.v +M_DEPS += ../../library/common/ad_iobuf.v M_DEPS += ../../library/common/ad_bus_mux.v LIB_DEPS += axi_ad9361 diff --git a/projects/sidekiqz2/system_project.tcl b/projects/sidekiqz2/system_project.tcl index 0987e5f82..319c00ebf 100755 --- a/projects/sidekiqz2/system_project.tcl +++ b/projects/sidekiqz2/system_project.tcl @@ -9,7 +9,7 @@ adi_project sidekiqz2 adi_project_files sidekiqz2 [list \ "system_top.v" \ "system_constr.xdc" \ - "$ad_hdl_dir/library/xilinx/common/ad_iobuf.v"] + "$ad_hdl_dir/library/common/ad_iobuf.v"] adi_project_run sidekiqz2 diff --git a/projects/usrpe31x/Makefile b/projects/usrpe31x/Makefile index 795445a37..5ee4d9093 100644 --- a/projects/usrpe31x/Makefile +++ b/projects/usrpe31x/Makefile @@ -5,7 +5,7 @@ PROJECT_NAME := usrpe31x -M_DEPS += ../../library/xilinx/common/ad_iobuf.v +M_DEPS += ../../library/common/ad_iobuf.v M_DEPS += ../../library/axi_ad9361/axi_ad9361_delay.tcl LIB_DEPS += axi_ad9361 diff --git a/projects/usrpe31x/system_project.tcl b/projects/usrpe31x/system_project.tcl index 85bb505a4..22d5ab4d3 100644 --- a/projects/usrpe31x/system_project.tcl +++ b/projects/usrpe31x/system_project.tcl @@ -9,7 +9,7 @@ adi_project usrpe31x adi_project_files usrpe31x [list \ "system_top.v" \ "system_constr.xdc" \ - "$ad_hdl_dir/library/xilinx/common/ad_iobuf.v"] + "$ad_hdl_dir/library/common/ad_iobuf.v"] adi_project_run usrpe31x source $ad_hdl_dir/library/axi_ad9361/axi_ad9361_delay.tcl