jesd204: Update testbench with the new file names

main
Istvan Csomortani 2018-03-28 12:54:12 +01:00 committed by István Csomortáni
parent 09ff1f3a77
commit 8b8d346193
12 changed files with 31 additions and 31 deletions

View File

@ -1,15 +1,15 @@
#!/bin/bash #!/bin/bash
SOURCE="loopback_tb.v" SOURCE="loopback_tb.v"
SOURCE+=" ../jesd204_common/lmfc.v ../jesd204_common/scrambler.v ../jesd204_common/eof.v" SOURCE+=" ../jesd204_common/jesd204_lmfc.v ../jesd204_common/jesd204_scrambler.v ../jesd204_common/jesd204_eof_generator.v"
SOURCE+=" ../jesd204_common/pipeline_stage.v" SOURCE+=" ../jesd204_common/pipeline_stage.v"
SOURCE+=" ../jesd204_rx/rx.v ../jesd204_rx/rx_lane.v" SOURCE+=" ../jesd204_rx/jesd204_rx.v ../jesd204_rx/jesd204_rx_lane.v"
SOURCE+=" ../jesd204_rx/ilas_monitor.v ../jesd204_rx/align_mux.v ../jesd204_rx/rx_cgs.v" SOURCE+=" ../jesd204_rx/jesd204_ilas_monitor.v ../jesd204_rx/align_mux.v ../jesd204_rx/jesd204_rx_cgs.v"
SOURCE+=" ../jesd204_rx/rx_ctrl.v ../jesd204_rx/elastic_buffer.v ../jesd204_rx/lane_latency_monitor.v" SOURCE+=" ../jesd204_rx/jesd204_rx_ctrl.v ../jesd204_rx/elastic_buffer.v ../jesd204_rx/jesd204_lane_latency_monitor.v"
SOURCE+=" ../jesd204_rx_static_config/rx_static_config.v" SOURCE+=" ../jesd204_rx_static_config/jesd204_rx_static_config.v"
SOURCE+=" ../jesd204_tx/tx.v ../jesd204_tx/tx_ctrl.v ../jesd204_tx/tx_lane.v" SOURCE+=" ../jesd204_tx/jesd204_tx.v ../jesd204_tx/jesd204_tx_ctrl.v ../jesd204_tx/jesd204_tx_lane.v"
SOURCE+=" ../jesd204_tx_static_config/tx_static_config.v" SOURCE+=" ../jesd204_tx_static_config/jesd204_tx_static_config.v"
SOURCE+=" ../jesd204_tx_static_config/ilas_cfg_static.v" SOURCE+=" ../jesd204_tx_static_config/jesd204_ilas_cfg_static.v"
SOURCE+=" ../../util_cdc/sync_bits.v" SOURCE+=" ../../util_cdc/sync_bits.v"
cd `dirname $0` cd `dirname $0`

View File

@ -1,7 +1,7 @@
#!/bin/bash #!/bin/bash
SOURCE="rx_cgs_tb.v" SOURCE="rx_cgs_tb.v"
SOURCE+=" ../jesd204_rx/rx_cgs.v" SOURCE+=" ../jesd204_rx/jesd204_rx_cgs.v"
cd `dirname $0` cd `dirname $0`
source run_tb.sh source run_tb.sh

View File

@ -1,7 +1,7 @@
#!/bin/bash #!/bin/bash
SOURCE="rx_ctrl_tb.v" SOURCE="rx_ctrl_tb.v"
SOURCE+=" ../jesd204_rx/rx_ctrl.v" SOURCE+=" ../jesd204_rx/jesd204_rx_ctrl.v"
cd `dirname $0` cd `dirname $0`
source run_tb.sh source run_tb.sh

View File

@ -1,9 +1,9 @@
#!/bin/bash #!/bin/bash
SOURCE="rx_lane_tb.v " SOURCE="rx_lane_tb.v "
SOURCE+=" ../jesd204_rx/rx_lane.v ../jesd204_rx/rx_cgs.v ../jesd204_rx/elastic_buffer.v" SOURCE+=" ../jesd204_rx/jesd204_rx_lane.v ../jesd204_rx/jesd204_rx_cgs.v ../jesd204_rx/elastic_buffer.v"
SOURCE+=" ../jesd204_rx/align_mux.v ../jesd204_rx/ilas_monitor.v" SOURCE+=" ../jesd204_rx/align_mux.v ../jesd204_rx/jesd204_ilas_monitor.v"
SOURCE+=" ../jesd204_common/scrambler.v" SOURCE+=" ../jesd204_common/jesd204_scrambler.v"
SOURCE+=" ../jesd204_common/pipeline_stage.v" SOURCE+=" ../jesd204_common/pipeline_stage.v"
cd `dirname $0` cd `dirname $0`

View File

@ -1,12 +1,12 @@
#!/bin/bash #!/bin/bash
SOURCE="rx_tb.v" SOURCE="rx_tb.v"
SOURCE+=" ../jesd204_common/lmfc.v ../jesd204_common/scrambler.v ../jesd204_common/eof.v" SOURCE+=" ../jesd204_common/jesd204_lmfc.v ../jesd204_common/jesd204_scrambler.v ../jesd204_common/jesd204_eof_generator.v"
SOURCE+=" ../jesd204_common/pipeline_stage.v" SOURCE+=" ../jesd204_common/pipeline_stage.v"
SOURCE+=" ../jesd204_rx_static_config/rx_static_config.v " SOURCE+=" ../jesd204_rx_static_config/jesd204_rx_static_config.v "
SOURCE+=" ../jesd204_rx/rx.v ../jesd204_rx/rx_lane.v ../jesd204_rx/lane_latency_monitor.v" SOURCE+=" ../jesd204_rx/jesd204_rx.v ../jesd204_rx/jesd204_rx_lane.v ../jesd204_rx/jesd204_lane_latency_monitor.v"
SOURCE+=" ../jesd204_rx/ilas_monitor.v ../jesd204_rx/align_mux.v ../jesd204_rx/rx_cgs.v" SOURCE+=" ../jesd204_rx/jesd204_ilas_monitor.v ../jesd204_rx/align_mux.v ../jesd204_rx/jesd204_rx_cgs.v"
SOURCE+=" ../jesd204_rx/rx_ctrl.v ../jesd204_rx/elastic_buffer.v" SOURCE+=" ../jesd204_rx/jesd204_rx_ctrl.v ../jesd204_rx/elastic_buffer.v"
cd `dirname $0` cd `dirname $0`
source run_tb.sh source run_tb.sh

View File

@ -1,7 +1,7 @@
#!/bin/bash #!/bin/bash
SOURCE="scrambler_tb.v" SOURCE="scrambler_tb.v"
SOURCE+=" ../jesd204_common/scrambler.v" SOURCE+=" ../jesd204_common/jesd204_scrambler.v"
cd `dirname $0` cd `dirname $0`
source run_tb.sh source run_tb.sh

View File

@ -1,8 +1,8 @@
#!/bin/bash #!/bin/bash
SOURCE="soft_pcs_8b10b_sequence_tb.v" SOURCE="soft_pcs_8b10b_sequence_tb.v"
SOURCE+=" ../jesd204_soft_pcs_tx/8b10b_encoder.v" SOURCE+=" ../jesd204_soft_pcs_tx/jesd204_8b10b_encoder.v"
SOURCE+=" ../jesd204_soft_pcs_rx/8b10b_decoder.v" SOURCE+=" ../jesd204_soft_pcs_rx/jesd204_8b10b_decoder.v"
cd `dirname $0` cd `dirname $0`
source run_tb.sh source run_tb.sh

View File

@ -1,8 +1,8 @@
#!/bin/bash #!/bin/bash
SOURCE="soft_pcs_8b10b_table_tb.v" SOURCE="soft_pcs_8b10b_table_tb.v"
SOURCE+=" ../jesd204_soft_pcs_tx/8b10b_encoder.v" SOURCE+=" ../jesd204_soft_pcs_tx/jesd204_8b10b_encoder.v"
SOURCE+=" ../jesd204_soft_pcs_rx/8b10b_decoder.v" SOURCE+=" ../jesd204_soft_pcs_rx/jesd204_8b10b_decoder.v"
cd `dirname $0` cd `dirname $0`
source run_tb.sh source run_tb.sh

View File

@ -1,9 +1,9 @@
#!/bin/bash #!/bin/bash
SOURCE="soft_pcs_loopback_tb.v" SOURCE="soft_pcs_loopback_tb.v"
SOURCE+=" ../jesd204_soft_pcs_tx/jesd204_soft_pcs_tx.v ../jesd204_soft_pcs_tx/8b10b_encoder.v" SOURCE+=" ../jesd204_soft_pcs_tx/jesd204_soft_pcs_tx.v ../jesd204_soft_pcs_tx/jesd204_8b10b_encoder.v"
SOURCE+=" ../jesd204_soft_pcs_rx/jesd204_soft_pcs_rx.v ../jesd204_soft_pcs_rx/8b10b_decoder.v" SOURCE+=" ../jesd204_soft_pcs_rx/jesd204_soft_pcs_rx.v ../jesd204_soft_pcs_rx/jesd204_8b10b_decoder.v"
SOURCE+=" ../jesd204_soft_pcs_rx/pattern_align.v" SOURCE+=" ../jesd204_soft_pcs_rx/jesd204_pattern_align.v"
cd `dirname $0` cd `dirname $0`
source run_tb.sh source run_tb.sh

View File

@ -1,7 +1,7 @@
#!/bin/bash #!/bin/bash
SOURCE="soft_pcs_pattern_align_tb.v" SOURCE="soft_pcs_pattern_align_tb.v"
SOURCE+=" ../jesd204_soft_pcs_rx/pattern_align.v" SOURCE+=" ../jesd204_soft_pcs_rx/jesd204_pattern_align.v"
cd `dirname $0` cd `dirname $0`
source run_tb.sh source run_tb.sh

View File

@ -1,7 +1,7 @@
#!/bin/bash #!/bin/bash
SOURCE="tx_ctrl_phase_tb.v" SOURCE="tx_ctrl_phase_tb.v"
SOURCE+=" ../jesd204_tx/tx_ctrl.v" SOURCE+=" ../jesd204_tx/jesd204_tx_ctrl.v"
SOURCE+=" ../../util_cdc/sync_bits.v" SOURCE+=" ../../util_cdc/sync_bits.v"
cd `dirname $0` cd `dirname $0`

View File

@ -1,9 +1,9 @@
#!/bin/bash #!/bin/bash
SOURCE="tx_tb.v" SOURCE="tx_tb.v"
SOURCE+=" ../jesd204_common/lmfc.v ../jesd204_common/scrambler.v ../jesd204_common/eof.v" SOURCE+=" ../jesd204_common/jesd204_lmfc.v ../jesd204_common/jesd204_scrambler.v ../jesd204_common/jesd204_eof_generator.v"
SOURCE+=" ../jesd204_tx/tx.v ../jesd204_tx/tx_ctrl.v ../jesd204_tx/tx_lane.v" SOURCE+=" ../jesd204_tx/jesd204_tx.v ../jesd204_tx/jesd204_tx_ctrl.v ../jesd204_tx/jesd204_tx_lane.v"
SOURCE+=" ../jesd204_tx_static_config/tx_static_config.v ../jesd204_tx_static_config/ilas_cfg_static.v" SOURCE+=" ../jesd204_tx_static_config/jesd204_tx_static_config.v ../jesd204_tx_static_config/jesd204_ilas_cfg_static.v"
SOURCE+=" ../../util_cdc/sync_bits.v" SOURCE+=" ../../util_cdc/sync_bits.v"
cd `dirname $0` cd `dirname $0`