From 8b8d346193ef1bbaeae51272893bf6a06c6213c1 Mon Sep 17 00:00:00 2001 From: Istvan Csomortani Date: Wed, 28 Mar 2018 12:54:12 +0100 Subject: [PATCH] jesd204: Update testbench with the new file names --- library/jesd204/tb/loopback_tb | 16 ++++++++-------- library/jesd204/tb/rx_cgs_tb | 2 +- library/jesd204/tb/rx_ctrl_tb | 2 +- library/jesd204/tb/rx_lane_tb | 6 +++--- library/jesd204/tb/rx_tb | 10 +++++----- library/jesd204/tb/scrambler_tb | 2 +- library/jesd204/tb/soft_pcs_8b10b_sequence_tb | 4 ++-- library/jesd204/tb/soft_pcs_8b10b_table_tb | 4 ++-- library/jesd204/tb/soft_pcs_loopback_tb | 6 +++--- library/jesd204/tb/soft_pcs_pattern_align_tb | 2 +- library/jesd204/tb/tx_ctrl_phase_tb | 2 +- library/jesd204/tb/tx_tb | 6 +++--- 12 files changed, 31 insertions(+), 31 deletions(-) diff --git a/library/jesd204/tb/loopback_tb b/library/jesd204/tb/loopback_tb index cab7e12eb..a17c5b512 100755 --- a/library/jesd204/tb/loopback_tb +++ b/library/jesd204/tb/loopback_tb @@ -1,15 +1,15 @@ #!/bin/bash SOURCE="loopback_tb.v" -SOURCE+=" ../jesd204_common/lmfc.v ../jesd204_common/scrambler.v ../jesd204_common/eof.v" +SOURCE+=" ../jesd204_common/jesd204_lmfc.v ../jesd204_common/jesd204_scrambler.v ../jesd204_common/jesd204_eof_generator.v" SOURCE+=" ../jesd204_common/pipeline_stage.v" -SOURCE+=" ../jesd204_rx/rx.v ../jesd204_rx/rx_lane.v" -SOURCE+=" ../jesd204_rx/ilas_monitor.v ../jesd204_rx/align_mux.v ../jesd204_rx/rx_cgs.v" -SOURCE+=" ../jesd204_rx/rx_ctrl.v ../jesd204_rx/elastic_buffer.v ../jesd204_rx/lane_latency_monitor.v" -SOURCE+=" ../jesd204_rx_static_config/rx_static_config.v" -SOURCE+=" ../jesd204_tx/tx.v ../jesd204_tx/tx_ctrl.v ../jesd204_tx/tx_lane.v" -SOURCE+=" ../jesd204_tx_static_config/tx_static_config.v" -SOURCE+=" ../jesd204_tx_static_config/ilas_cfg_static.v" +SOURCE+=" ../jesd204_rx/jesd204_rx.v ../jesd204_rx/jesd204_rx_lane.v" +SOURCE+=" ../jesd204_rx/jesd204_ilas_monitor.v ../jesd204_rx/align_mux.v ../jesd204_rx/jesd204_rx_cgs.v" +SOURCE+=" ../jesd204_rx/jesd204_rx_ctrl.v ../jesd204_rx/elastic_buffer.v ../jesd204_rx/jesd204_lane_latency_monitor.v" +SOURCE+=" ../jesd204_rx_static_config/jesd204_rx_static_config.v" +SOURCE+=" ../jesd204_tx/jesd204_tx.v ../jesd204_tx/jesd204_tx_ctrl.v ../jesd204_tx/jesd204_tx_lane.v" +SOURCE+=" ../jesd204_tx_static_config/jesd204_tx_static_config.v" +SOURCE+=" ../jesd204_tx_static_config/jesd204_ilas_cfg_static.v" SOURCE+=" ../../util_cdc/sync_bits.v" cd `dirname $0` diff --git a/library/jesd204/tb/rx_cgs_tb b/library/jesd204/tb/rx_cgs_tb index f471fdd67..d1a467001 100755 --- a/library/jesd204/tb/rx_cgs_tb +++ b/library/jesd204/tb/rx_cgs_tb @@ -1,7 +1,7 @@ #!/bin/bash SOURCE="rx_cgs_tb.v" -SOURCE+=" ../jesd204_rx/rx_cgs.v" +SOURCE+=" ../jesd204_rx/jesd204_rx_cgs.v" cd `dirname $0` source run_tb.sh diff --git a/library/jesd204/tb/rx_ctrl_tb b/library/jesd204/tb/rx_ctrl_tb index 8d5627140..bd2bcaaa9 100755 --- a/library/jesd204/tb/rx_ctrl_tb +++ b/library/jesd204/tb/rx_ctrl_tb @@ -1,7 +1,7 @@ #!/bin/bash SOURCE="rx_ctrl_tb.v" -SOURCE+=" ../jesd204_rx/rx_ctrl.v" +SOURCE+=" ../jesd204_rx/jesd204_rx_ctrl.v" cd `dirname $0` source run_tb.sh diff --git a/library/jesd204/tb/rx_lane_tb b/library/jesd204/tb/rx_lane_tb index 3c3c3b906..f14953d70 100755 --- a/library/jesd204/tb/rx_lane_tb +++ b/library/jesd204/tb/rx_lane_tb @@ -1,9 +1,9 @@ #!/bin/bash SOURCE="rx_lane_tb.v " -SOURCE+=" ../jesd204_rx/rx_lane.v ../jesd204_rx/rx_cgs.v ../jesd204_rx/elastic_buffer.v" -SOURCE+=" ../jesd204_rx/align_mux.v ../jesd204_rx/ilas_monitor.v" -SOURCE+=" ../jesd204_common/scrambler.v" +SOURCE+=" ../jesd204_rx/jesd204_rx_lane.v ../jesd204_rx/jesd204_rx_cgs.v ../jesd204_rx/elastic_buffer.v" +SOURCE+=" ../jesd204_rx/align_mux.v ../jesd204_rx/jesd204_ilas_monitor.v" +SOURCE+=" ../jesd204_common/jesd204_scrambler.v" SOURCE+=" ../jesd204_common/pipeline_stage.v" cd `dirname $0` diff --git a/library/jesd204/tb/rx_tb b/library/jesd204/tb/rx_tb index 50cc3303f..6c5f3da36 100755 --- a/library/jesd204/tb/rx_tb +++ b/library/jesd204/tb/rx_tb @@ -1,12 +1,12 @@ #!/bin/bash SOURCE="rx_tb.v" -SOURCE+=" ../jesd204_common/lmfc.v ../jesd204_common/scrambler.v ../jesd204_common/eof.v" +SOURCE+=" ../jesd204_common/jesd204_lmfc.v ../jesd204_common/jesd204_scrambler.v ../jesd204_common/jesd204_eof_generator.v" SOURCE+=" ../jesd204_common/pipeline_stage.v" -SOURCE+=" ../jesd204_rx_static_config/rx_static_config.v " -SOURCE+=" ../jesd204_rx/rx.v ../jesd204_rx/rx_lane.v ../jesd204_rx/lane_latency_monitor.v" -SOURCE+=" ../jesd204_rx/ilas_monitor.v ../jesd204_rx/align_mux.v ../jesd204_rx/rx_cgs.v" -SOURCE+=" ../jesd204_rx/rx_ctrl.v ../jesd204_rx/elastic_buffer.v" +SOURCE+=" ../jesd204_rx_static_config/jesd204_rx_static_config.v " +SOURCE+=" ../jesd204_rx/jesd204_rx.v ../jesd204_rx/jesd204_rx_lane.v ../jesd204_rx/jesd204_lane_latency_monitor.v" +SOURCE+=" ../jesd204_rx/jesd204_ilas_monitor.v ../jesd204_rx/align_mux.v ../jesd204_rx/jesd204_rx_cgs.v" +SOURCE+=" ../jesd204_rx/jesd204_rx_ctrl.v ../jesd204_rx/elastic_buffer.v" cd `dirname $0` source run_tb.sh diff --git a/library/jesd204/tb/scrambler_tb b/library/jesd204/tb/scrambler_tb index 63193edd3..6aefdfa51 100755 --- a/library/jesd204/tb/scrambler_tb +++ b/library/jesd204/tb/scrambler_tb @@ -1,7 +1,7 @@ #!/bin/bash SOURCE="scrambler_tb.v" -SOURCE+=" ../jesd204_common/scrambler.v" +SOURCE+=" ../jesd204_common/jesd204_scrambler.v" cd `dirname $0` source run_tb.sh diff --git a/library/jesd204/tb/soft_pcs_8b10b_sequence_tb b/library/jesd204/tb/soft_pcs_8b10b_sequence_tb index 1c6e8bc38..f67086fb8 100755 --- a/library/jesd204/tb/soft_pcs_8b10b_sequence_tb +++ b/library/jesd204/tb/soft_pcs_8b10b_sequence_tb @@ -1,8 +1,8 @@ #!/bin/bash SOURCE="soft_pcs_8b10b_sequence_tb.v" -SOURCE+=" ../jesd204_soft_pcs_tx/8b10b_encoder.v" -SOURCE+=" ../jesd204_soft_pcs_rx/8b10b_decoder.v" +SOURCE+=" ../jesd204_soft_pcs_tx/jesd204_8b10b_encoder.v" +SOURCE+=" ../jesd204_soft_pcs_rx/jesd204_8b10b_decoder.v" cd `dirname $0` source run_tb.sh diff --git a/library/jesd204/tb/soft_pcs_8b10b_table_tb b/library/jesd204/tb/soft_pcs_8b10b_table_tb index d648e03a5..e7fe86d84 100755 --- a/library/jesd204/tb/soft_pcs_8b10b_table_tb +++ b/library/jesd204/tb/soft_pcs_8b10b_table_tb @@ -1,8 +1,8 @@ #!/bin/bash SOURCE="soft_pcs_8b10b_table_tb.v" -SOURCE+=" ../jesd204_soft_pcs_tx/8b10b_encoder.v" -SOURCE+=" ../jesd204_soft_pcs_rx/8b10b_decoder.v" +SOURCE+=" ../jesd204_soft_pcs_tx/jesd204_8b10b_encoder.v" +SOURCE+=" ../jesd204_soft_pcs_rx/jesd204_8b10b_decoder.v" cd `dirname $0` source run_tb.sh diff --git a/library/jesd204/tb/soft_pcs_loopback_tb b/library/jesd204/tb/soft_pcs_loopback_tb index 1430e96e3..db27b8a79 100755 --- a/library/jesd204/tb/soft_pcs_loopback_tb +++ b/library/jesd204/tb/soft_pcs_loopback_tb @@ -1,9 +1,9 @@ #!/bin/bash SOURCE="soft_pcs_loopback_tb.v" -SOURCE+=" ../jesd204_soft_pcs_tx/jesd204_soft_pcs_tx.v ../jesd204_soft_pcs_tx/8b10b_encoder.v" -SOURCE+=" ../jesd204_soft_pcs_rx/jesd204_soft_pcs_rx.v ../jesd204_soft_pcs_rx/8b10b_decoder.v" -SOURCE+=" ../jesd204_soft_pcs_rx/pattern_align.v" +SOURCE+=" ../jesd204_soft_pcs_tx/jesd204_soft_pcs_tx.v ../jesd204_soft_pcs_tx/jesd204_8b10b_encoder.v" +SOURCE+=" ../jesd204_soft_pcs_rx/jesd204_soft_pcs_rx.v ../jesd204_soft_pcs_rx/jesd204_8b10b_decoder.v" +SOURCE+=" ../jesd204_soft_pcs_rx/jesd204_pattern_align.v" cd `dirname $0` source run_tb.sh diff --git a/library/jesd204/tb/soft_pcs_pattern_align_tb b/library/jesd204/tb/soft_pcs_pattern_align_tb index 2b0b3a8b0..a3a3185de 100755 --- a/library/jesd204/tb/soft_pcs_pattern_align_tb +++ b/library/jesd204/tb/soft_pcs_pattern_align_tb @@ -1,7 +1,7 @@ #!/bin/bash SOURCE="soft_pcs_pattern_align_tb.v" -SOURCE+=" ../jesd204_soft_pcs_rx/pattern_align.v" +SOURCE+=" ../jesd204_soft_pcs_rx/jesd204_pattern_align.v" cd `dirname $0` source run_tb.sh diff --git a/library/jesd204/tb/tx_ctrl_phase_tb b/library/jesd204/tb/tx_ctrl_phase_tb index 87219a3c4..5fcd69274 100755 --- a/library/jesd204/tb/tx_ctrl_phase_tb +++ b/library/jesd204/tb/tx_ctrl_phase_tb @@ -1,7 +1,7 @@ #!/bin/bash SOURCE="tx_ctrl_phase_tb.v" -SOURCE+=" ../jesd204_tx/tx_ctrl.v" +SOURCE+=" ../jesd204_tx/jesd204_tx_ctrl.v" SOURCE+=" ../../util_cdc/sync_bits.v" cd `dirname $0` diff --git a/library/jesd204/tb/tx_tb b/library/jesd204/tb/tx_tb index 099a0ad0e..311bc40c7 100755 --- a/library/jesd204/tb/tx_tb +++ b/library/jesd204/tb/tx_tb @@ -1,9 +1,9 @@ #!/bin/bash SOURCE="tx_tb.v" -SOURCE+=" ../jesd204_common/lmfc.v ../jesd204_common/scrambler.v ../jesd204_common/eof.v" -SOURCE+=" ../jesd204_tx/tx.v ../jesd204_tx/tx_ctrl.v ../jesd204_tx/tx_lane.v" -SOURCE+=" ../jesd204_tx_static_config/tx_static_config.v ../jesd204_tx_static_config/ilas_cfg_static.v" +SOURCE+=" ../jesd204_common/jesd204_lmfc.v ../jesd204_common/jesd204_scrambler.v ../jesd204_common/jesd204_eof_generator.v" +SOURCE+=" ../jesd204_tx/jesd204_tx.v ../jesd204_tx/jesd204_tx_ctrl.v ../jesd204_tx/jesd204_tx_lane.v" +SOURCE+=" ../jesd204_tx_static_config/jesd204_tx_static_config.v ../jesd204_tx_static_config/jesd204_ilas_cfg_static.v" SOURCE+=" ../../util_cdc/sync_bits.v" cd `dirname $0`