diff --git a/library/altera/avl_adxcfg/avl_adxcfg.v b/library/altera/avl_adxcfg/avl_adxcfg.v index 23535cee5..5b2537946 100644 --- a/library/altera/avl_adxcfg/avl_adxcfg.v +++ b/library/altera/avl_adxcfg/avl_adxcfg.v @@ -2,21 +2,23 @@ // *************************************************************************** // Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved. // -// Each core or library found in this collection may have its own licensing terms. -// The user should keep this in in mind while exploring these cores. +// This core is distributed in the hope that it will be useful, but WITHOUT ANY +// WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR +// A PARTICULAR PURPOSE. // -// Redistribution and use in source and binary forms, -// with or without modification of this file, are permitted under the terms of either -// (at the option of the user): +// Redistribution and use of source or resulting binaries, with or without modification +// of this file, are permitted under one of the following two license terms: // // 1. The GNU General Public License version 2 as published by the -// Free Software Foundation, which can be found in the top level directory, or at: -// https://www.gnu.org/licenses/old-licenses/gpl-2.0.en.html +// Free Software Foundation, which can be found in the top level directory of +// the repository (LICENSE_GPL2), and at: // // OR // -// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: -// https://github.com/analogdevicesinc/hdl/blob/dev/LICENSE +// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: +// https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD +// This will allow to generate bit files and not release the source code, +// as long as it attaches to an ADI device. // // *************************************************************************** // *************************************************************************** diff --git a/library/altera/avl_adxphy/avl_adxphy.v b/library/altera/avl_adxphy/avl_adxphy.v index 6c35e64eb..5835f544f 100644 --- a/library/altera/avl_adxphy/avl_adxphy.v +++ b/library/altera/avl_adxphy/avl_adxphy.v @@ -2,21 +2,23 @@ // *************************************************************************** // Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved. // -// Each core or library found in this collection may have its own licensing terms. -// The user should keep this in in mind while exploring these cores. +// This core is distributed in the hope that it will be useful, but WITHOUT ANY +// WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR +// A PARTICULAR PURPOSE. // -// Redistribution and use in source and binary forms, -// with or without modification of this file, are permitted under the terms of either -// (at the option of the user): +// Redistribution and use of source or resulting binaries, with or without modification +// of this file, are permitted under one of the following two license terms: // // 1. The GNU General Public License version 2 as published by the -// Free Software Foundation, which can be found in the top level directory, or at: -// https://www.gnu.org/licenses/old-licenses/gpl-2.0.en.html +// Free Software Foundation, which can be found in the top level directory of +// the repository (LICENSE_GPL2), and at: // // OR // -// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: -// https://github.com/analogdevicesinc/hdl/blob/dev/LICENSE +// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: +// https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD +// This will allow to generate bit files and not release the source code, +// as long as it attaches to an ADI device. // // *************************************************************************** // *************************************************************************** diff --git a/library/altera/avl_dacfifo/avl_dacfifo.v b/library/altera/avl_dacfifo/avl_dacfifo.v index 21f76f290..14dd92f0a 100644 --- a/library/altera/avl_dacfifo/avl_dacfifo.v +++ b/library/altera/avl_dacfifo/avl_dacfifo.v @@ -2,21 +2,23 @@ // *************************************************************************** // Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved. // -// Each core or library found in this collection may have its own licensing terms. -// The user should keep this in in mind while exploring these cores. +// This core is distributed in the hope that it will be useful, but WITHOUT ANY +// WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR +// A PARTICULAR PURPOSE. // -// Redistribution and use in source and binary forms, -// with or without modification of this file, are permitted under the terms of either -// (at the option of the user): +// Redistribution and use of source or resulting binaries, with or without modification +// of this file, are permitted under one of the following two license terms: // // 1. The GNU General Public License version 2 as published by the -// Free Software Foundation, which can be found in the top level directory, or at: -// https://www.gnu.org/licenses/old-licenses/gpl-2.0.en.html +// Free Software Foundation, which can be found in the top level directory of +// the repository (LICENSE_GPL2), and at: // // OR // -// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: -// https://github.com/analogdevicesinc/hdl/blob/dev/LICENSE +// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: +// https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD +// This will allow to generate bit files and not release the source code, +// as long as it attaches to an ADI device. // // *************************************************************************** // *************************************************************************** diff --git a/library/altera/avl_dacfifo/avl_dacfifo_byteenable_coder.v b/library/altera/avl_dacfifo/avl_dacfifo_byteenable_coder.v index 9c9016c91..f0171a1b0 100644 --- a/library/altera/avl_dacfifo/avl_dacfifo_byteenable_coder.v +++ b/library/altera/avl_dacfifo/avl_dacfifo_byteenable_coder.v @@ -1,37 +1,25 @@ // *************************************************************************** // *************************************************************************** -// Copyright 2016(c) Analog Devices, Inc. +// Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved. // -// All rights reserved. +// This core is distributed in the hope that it will be useful, but WITHOUT ANY +// WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR +// A PARTICULAR PURPOSE. // -// Redistribution and use in source and binary forms, with or without modification, -// are permitted provided that the following conditions are met: -// - Redistributions of source code must retain the above copyright -// notice, this list of conditions and the following disclaimer. -// - Redistributions in binary form must reproduce the above copyright -// notice, this list of conditions and the following disclaimer in -// the documentation and/or other materials provided with the -// distribution. -// - Neither the name of Analog Devices, Inc. nor the names of its -// contributors may be used to endorse or promote products derived -// from this software without specific prior written permission. -// - The use of this software may or may not infringe the patent rights -// of one or more patent holders. This license does not release you -// from the requirement that you obtain separate licenses from these -// patent holders to use this software. -// - Use of the software either in source or binary form, must be run -// on or directly connected to an Analog Devices Inc. component. +// Redistribution and use of source or resulting binaries, with or without modification +// of this file, are permitted under one of the following two license terms: // -// THIS SOFTWARE IS PROVIDED BY ANALOG DEVICES "AS IS" AND ANY EXPRESS OR IMPLIED WARRANTIES, -// INCLUDING, BUT NOT LIMITED TO, NON-INFRINGEMENT, MERCHANTABILITY AND FITNESS FOR A -// PARTICULAR PURPOSE ARE DISCLAIMED. +// 1. The GNU General Public License version 2 as published by the +// Free Software Foundation, which can be found in the top level directory of +// the repository (LICENSE_GPL2), and at: +// +// OR +// +// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: +// https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD +// This will allow to generate bit files and not release the source code, +// as long as it attaches to an ADI device. // -// IN NO EVENT SHALL ANALOG DEVICES BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, -// EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, INTELLECTUAL PROPERTY -// RIGHTS, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR -// BUSINESS INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, -// STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF -// THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE. // *************************************************************************** // *************************************************************************** diff --git a/library/altera/avl_dacfifo/avl_dacfifo_byteenable_decoder.v b/library/altera/avl_dacfifo/avl_dacfifo_byteenable_decoder.v index 7bbd75c71..83a7adb7e 100644 --- a/library/altera/avl_dacfifo/avl_dacfifo_byteenable_decoder.v +++ b/library/altera/avl_dacfifo/avl_dacfifo_byteenable_decoder.v @@ -1,37 +1,25 @@ // *************************************************************************** // *************************************************************************** -// Copyright 2016(c) Analog Devices, Inc. +// Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved. // -// All rights reserved. +// This core is distributed in the hope that it will be useful, but WITHOUT ANY +// WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR +// A PARTICULAR PURPOSE. // -// Redistribution and use in source and binary forms, with or without modification, -// are permitted provided that the following conditions are met: -// - Redistributions of source code must retain the above copyright -// notice, this list of conditions and the following disclaimer. -// - Redistributions in binary form must reproduce the above copyright -// notice, this list of conditions and the following disclaimer in -// the documentation and/or other materials provided with the -// distribution. -// - Neither the name of Analog Devices, Inc. nor the names of its -// contributors may be used to endorse or promote products derived -// from this software without specific prior written permission. -// - The use of this software may or may not infringe the patent rights -// of one or more patent holders. This license does not release you -// from the requirement that you obtain separate licenses from these -// patent holders to use this software. -// - Use of the software either in source or binary form, must be run -// on or directly connected to an Analog Devices Inc. component. +// Redistribution and use of source or resulting binaries, with or without modification +// of this file, are permitted under one of the following two license terms: // -// THIS SOFTWARE IS PROVIDED BY ANALOG DEVICES "AS IS" AND ANY EXPRESS OR IMPLIED WARRANTIES, -// INCLUDING, BUT NOT LIMITED TO, NON-INFRINGEMENT, MERCHANTABILITY AND FITNESS FOR A -// PARTICULAR PURPOSE ARE DISCLAIMED. +// 1. The GNU General Public License version 2 as published by the +// Free Software Foundation, which can be found in the top level directory of +// the repository (LICENSE_GPL2), and at: +// +// OR +// +// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: +// https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD +// This will allow to generate bit files and not release the source code, +// as long as it attaches to an ADI device. // -// IN NO EVENT SHALL ANALOG DEVICES BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, -// EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, INTELLECTUAL PROPERTY -// RIGHTS, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR -// BUSINESS INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, -// STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF -// THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE. // *************************************************************************** // *************************************************************************** diff --git a/library/altera/avl_dacfifo/avl_dacfifo_rd.v b/library/altera/avl_dacfifo/avl_dacfifo_rd.v index 24769a9c6..3ed1804af 100644 --- a/library/altera/avl_dacfifo/avl_dacfifo_rd.v +++ b/library/altera/avl_dacfifo/avl_dacfifo_rd.v @@ -2,21 +2,23 @@ // *************************************************************************** // Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved. // -// Each core or library found in this collection may have its own licensing terms. -// The user should keep this in in mind while exploring these cores. +// This core is distributed in the hope that it will be useful, but WITHOUT ANY +// WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR +// A PARTICULAR PURPOSE. // -// Redistribution and use in source and binary forms, -// with or without modification of this file, are permitted under the terms of either -// (at the option of the user): +// Redistribution and use of source or resulting binaries, with or without modification +// of this file, are permitted under one of the following two license terms: // // 1. The GNU General Public License version 2 as published by the -// Free Software Foundation, which can be found in the top level directory, or at: -// https://www.gnu.org/licenses/old-licenses/gpl-2.0.en.html +// Free Software Foundation, which can be found in the top level directory of +// the repository (LICENSE_GPL2), and at: // // OR // -// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: -// https://github.com/analogdevicesinc/hdl/blob/dev/LICENSE +// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: +// https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD +// This will allow to generate bit files and not release the source code, +// as long as it attaches to an ADI device. // // *************************************************************************** // *************************************************************************** diff --git a/library/altera/avl_dacfifo/avl_dacfifo_wr.v b/library/altera/avl_dacfifo/avl_dacfifo_wr.v index 9376028cd..6c83e3c10 100644 --- a/library/altera/avl_dacfifo/avl_dacfifo_wr.v +++ b/library/altera/avl_dacfifo/avl_dacfifo_wr.v @@ -2,21 +2,23 @@ // *************************************************************************** // Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved. // -// Each core or library found in this collection may have its own licensing terms. -// The user should keep this in in mind while exploring these cores. +// This core is distributed in the hope that it will be useful, but WITHOUT ANY +// WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR +// A PARTICULAR PURPOSE. // -// Redistribution and use in source and binary forms, -// with or without modification of this file, are permitted under the terms of either -// (at the option of the user): +// Redistribution and use of source or resulting binaries, with or without modification +// of this file, are permitted under one of the following two license terms: // // 1. The GNU General Public License version 2 as published by the -// Free Software Foundation, which can be found in the top level directory, or at: -// https://www.gnu.org/licenses/old-licenses/gpl-2.0.en.html +// Free Software Foundation, which can be found in the top level directory of +// the repository (LICENSE_GPL2), and at: // // OR // -// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: -// https://github.com/analogdevicesinc/hdl/blob/dev/LICENSE +// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: +// https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD +// This will allow to generate bit files and not release the source code, +// as long as it attaches to an ADI device. // // *************************************************************************** // *************************************************************************** diff --git a/library/altera/axi_adxcvr/axi_adxcvr.v b/library/altera/axi_adxcvr/axi_adxcvr.v index bb67a13d0..41a33c9bb 100644 --- a/library/altera/axi_adxcvr/axi_adxcvr.v +++ b/library/altera/axi_adxcvr/axi_adxcvr.v @@ -2,21 +2,23 @@ // *************************************************************************** // Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved. // -// Each core or library found in this collection may have its own licensing terms. -// The user should keep this in in mind while exploring these cores. +// This core is distributed in the hope that it will be useful, but WITHOUT ANY +// WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR +// A PARTICULAR PURPOSE. // -// Redistribution and use in source and binary forms, -// with or without modification of this file, are permitted under the terms of either -// (at the option of the user): +// Redistribution and use of source or resulting binaries, with or without modification +// of this file, are permitted under one of the following two license terms: // // 1. The GNU General Public License version 2 as published by the -// Free Software Foundation, which can be found in the top level directory, or at: -// https://www.gnu.org/licenses/old-licenses/gpl-2.0.en.html +// Free Software Foundation, which can be found in the top level directory of +// the repository (LICENSE_GPL2), and at: // // OR // -// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: -// https://github.com/analogdevicesinc/hdl/blob/dev/LICENSE +// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: +// https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD +// This will allow to generate bit files and not release the source code, +// as long as it attaches to an ADI device. // // *************************************************************************** // *************************************************************************** diff --git a/library/altera/axi_adxcvr/axi_adxcvr_up.v b/library/altera/axi_adxcvr/axi_adxcvr_up.v index 5d00bdec5..983b79712 100644 --- a/library/altera/axi_adxcvr/axi_adxcvr_up.v +++ b/library/altera/axi_adxcvr/axi_adxcvr_up.v @@ -2,21 +2,23 @@ // *************************************************************************** // Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved. // -// Each core or library found in this collection may have its own licensing terms. -// The user should keep this in in mind while exploring these cores. +// This core is distributed in the hope that it will be useful, but WITHOUT ANY +// WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR +// A PARTICULAR PURPOSE. // -// Redistribution and use in source and binary forms, -// with or without modification of this file, are permitted under the terms of either -// (at the option of the user): +// Redistribution and use of source or resulting binaries, with or without modification +// of this file, are permitted under one of the following two license terms: // // 1. The GNU General Public License version 2 as published by the -// Free Software Foundation, which can be found in the top level directory, or at: -// https://www.gnu.org/licenses/old-licenses/gpl-2.0.en.html +// Free Software Foundation, which can be found in the top level directory of +// the repository (LICENSE_GPL2), and at: // // OR // -// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: -// https://github.com/analogdevicesinc/hdl/blob/dev/LICENSE +// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: +// https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD +// This will allow to generate bit files and not release the source code, +// as long as it attaches to an ADI device. // // *************************************************************************** // *************************************************************************** diff --git a/library/altera/common/ad_cmos_clk.v b/library/altera/common/ad_cmos_clk.v index 5d5754e46..637b55335 100644 --- a/library/altera/common/ad_cmos_clk.v +++ b/library/altera/common/ad_cmos_clk.v @@ -2,21 +2,23 @@ // *************************************************************************** // Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved. // -// Each core or library found in this collection may have its own licensing terms. -// The user should keep this in in mind while exploring these cores. +// This core is distributed in the hope that it will be useful, but WITHOUT ANY +// WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR +// A PARTICULAR PURPOSE. // -// Redistribution and use in source and binary forms, -// with or without modification of this file, are permitted under the terms of either -// (at the option of the user): +// Redistribution and use of source or resulting binaries, with or without modification +// of this file, are permitted under one of the following two license terms: // // 1. The GNU General Public License version 2 as published by the -// Free Software Foundation, which can be found in the top level directory, or at: -// https://www.gnu.org/licenses/old-licenses/gpl-2.0.en.html +// Free Software Foundation, which can be found in the top level directory of +// the repository (LICENSE_GPL2), and at: // // OR // -// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: -// https://github.com/analogdevicesinc/hdl/blob/dev/LICENSE +// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: +// https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD +// This will allow to generate bit files and not release the source code, +// as long as it attaches to an ADI device. // // *************************************************************************** // *************************************************************************** diff --git a/library/altera/common/ad_cmos_in.v b/library/altera/common/ad_cmos_in.v index 4b0dfe78c..04ca869c5 100644 --- a/library/altera/common/ad_cmos_in.v +++ b/library/altera/common/ad_cmos_in.v @@ -2,21 +2,23 @@ // *************************************************************************** // Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved. // -// Each core or library found in this collection may have its own licensing terms. -// The user should keep this in in mind while exploring these cores. +// This core is distributed in the hope that it will be useful, but WITHOUT ANY +// WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR +// A PARTICULAR PURPOSE. // -// Redistribution and use in source and binary forms, -// with or without modification of this file, are permitted under the terms of either -// (at the option of the user): +// Redistribution and use of source or resulting binaries, with or without modification +// of this file, are permitted under one of the following two license terms: // // 1. The GNU General Public License version 2 as published by the -// Free Software Foundation, which can be found in the top level directory, or at: -// https://www.gnu.org/licenses/old-licenses/gpl-2.0.en.html +// Free Software Foundation, which can be found in the top level directory of +// the repository (LICENSE_GPL2), and at: // // OR // -// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: -// https://github.com/analogdevicesinc/hdl/blob/dev/LICENSE +// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: +// https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD +// This will allow to generate bit files and not release the source code, +// as long as it attaches to an ADI device. // // *************************************************************************** // *************************************************************************** diff --git a/library/altera/common/ad_cmos_out.v b/library/altera/common/ad_cmos_out.v index b476e1225..e59b5f592 100644 --- a/library/altera/common/ad_cmos_out.v +++ b/library/altera/common/ad_cmos_out.v @@ -2,21 +2,23 @@ // *************************************************************************** // Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved. // -// Each core or library found in this collection may have its own licensing terms. -// The user should keep this in in mind while exploring these cores. +// This core is distributed in the hope that it will be useful, but WITHOUT ANY +// WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR +// A PARTICULAR PURPOSE. // -// Redistribution and use in source and binary forms, -// with or without modification of this file, are permitted under the terms of either -// (at the option of the user): +// Redistribution and use of source or resulting binaries, with or without modification +// of this file, are permitted under one of the following two license terms: // // 1. The GNU General Public License version 2 as published by the -// Free Software Foundation, which can be found in the top level directory, or at: -// https://www.gnu.org/licenses/old-licenses/gpl-2.0.en.html +// Free Software Foundation, which can be found in the top level directory of +// the repository (LICENSE_GPL2), and at: // // OR // -// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: -// https://github.com/analogdevicesinc/hdl/blob/dev/LICENSE +// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: +// https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD +// This will allow to generate bit files and not release the source code, +// as long as it attaches to an ADI device. // // *************************************************************************** // *************************************************************************** diff --git a/library/altera/common/ad_cmos_out_core_c5.v b/library/altera/common/ad_cmos_out_core_c5.v index dcf2af1a6..94a773b79 100644 --- a/library/altera/common/ad_cmos_out_core_c5.v +++ b/library/altera/common/ad_cmos_out_core_c5.v @@ -2,21 +2,23 @@ // *************************************************************************** // Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved. // -// Each core or library found in this collection may have its own licensing terms. -// The user should keep this in in mind while exploring these cores. +// This core is distributed in the hope that it will be useful, but WITHOUT ANY +// WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR +// A PARTICULAR PURPOSE. // -// Redistribution and use in source and binary forms, -// with or without modification of this file, are permitted under the terms of either -// (at the option of the user): +// Redistribution and use of source or resulting binaries, with or without modification +// of this file, are permitted under one of the following two license terms: // // 1. The GNU General Public License version 2 as published by the -// Free Software Foundation, which can be found in the top level directory, or at: -// https://www.gnu.org/licenses/old-licenses/gpl-2.0.en.html +// Free Software Foundation, which can be found in the top level directory of +// the repository (LICENSE_GPL2), and at: // // OR // -// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: -// https://github.com/analogdevicesinc/hdl/blob/dev/LICENSE +// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: +// https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD +// This will allow to generate bit files and not release the source code, +// as long as it attaches to an ADI device. // // *************************************************************************** // *************************************************************************** diff --git a/library/altera/common/ad_dcfilter.v b/library/altera/common/ad_dcfilter.v index 9f68b8024..231769b65 100755 --- a/library/altera/common/ad_dcfilter.v +++ b/library/altera/common/ad_dcfilter.v @@ -2,21 +2,23 @@ // *************************************************************************** // Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved. // -// Each core or library found in this collection may have its own licensing terms. -// The user should keep this in in mind while exploring these cores. +// This core is distributed in the hope that it will be useful, but WITHOUT ANY +// WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR +// A PARTICULAR PURPOSE. // -// Redistribution and use in source and binary forms, -// with or without modification of this file, are permitted under the terms of either -// (at the option of the user): +// Redistribution and use of source or resulting binaries, with or without modification +// of this file, are permitted under one of the following two license terms: // // 1. The GNU General Public License version 2 as published by the -// Free Software Foundation, which can be found in the top level directory, or at: -// https://www.gnu.org/licenses/old-licenses/gpl-2.0.en.html +// Free Software Foundation, which can be found in the top level directory of +// the repository (LICENSE_GPL2), and at: // // OR // -// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: -// https://github.com/analogdevicesinc/hdl/blob/dev/LICENSE +// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: +// https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD +// This will allow to generate bit files and not release the source code, +// as long as it attaches to an ADI device. // // *************************************************************************** // *************************************************************************** diff --git a/library/altera/common/ad_lvds_clk.v b/library/altera/common/ad_lvds_clk.v index c8fc36be0..b90e5305d 100644 --- a/library/altera/common/ad_lvds_clk.v +++ b/library/altera/common/ad_lvds_clk.v @@ -2,21 +2,23 @@ // *************************************************************************** // Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved. // -// Each core or library found in this collection may have its own licensing terms. -// The user should keep this in in mind while exploring these cores. +// This core is distributed in the hope that it will be useful, but WITHOUT ANY +// WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR +// A PARTICULAR PURPOSE. // -// Redistribution and use in source and binary forms, -// with or without modification of this file, are permitted under the terms of either -// (at the option of the user): +// Redistribution and use of source or resulting binaries, with or without modification +// of this file, are permitted under one of the following two license terms: // // 1. The GNU General Public License version 2 as published by the -// Free Software Foundation, which can be found in the top level directory, or at: -// https://www.gnu.org/licenses/old-licenses/gpl-2.0.en.html +// Free Software Foundation, which can be found in the top level directory of +// the repository (LICENSE_GPL2), and at: // // OR // -// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: -// https://github.com/analogdevicesinc/hdl/blob/dev/LICENSE +// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: +// https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD +// This will allow to generate bit files and not release the source code, +// as long as it attaches to an ADI device. // // *************************************************************************** // *************************************************************************** diff --git a/library/altera/common/ad_lvds_in.v b/library/altera/common/ad_lvds_in.v index ad39e47fd..9b0f87eb8 100644 --- a/library/altera/common/ad_lvds_in.v +++ b/library/altera/common/ad_lvds_in.v @@ -2,21 +2,23 @@ // *************************************************************************** // Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved. // -// Each core or library found in this collection may have its own licensing terms. -// The user should keep this in in mind while exploring these cores. +// This core is distributed in the hope that it will be useful, but WITHOUT ANY +// WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR +// A PARTICULAR PURPOSE. // -// Redistribution and use in source and binary forms, -// with or without modification of this file, are permitted under the terms of either -// (at the option of the user): +// Redistribution and use of source or resulting binaries, with or without modification +// of this file, are permitted under one of the following two license terms: // // 1. The GNU General Public License version 2 as published by the -// Free Software Foundation, which can be found in the top level directory, or at: -// https://www.gnu.org/licenses/old-licenses/gpl-2.0.en.html +// Free Software Foundation, which can be found in the top level directory of +// the repository (LICENSE_GPL2), and at: // // OR // -// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: -// https://github.com/analogdevicesinc/hdl/blob/dev/LICENSE +// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: +// https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD +// This will allow to generate bit files and not release the source code, +// as long as it attaches to an ADI device. // // *************************************************************************** // *************************************************************************** diff --git a/library/altera/common/ad_lvds_out.v b/library/altera/common/ad_lvds_out.v index 08fad6262..d1a1964bb 100644 --- a/library/altera/common/ad_lvds_out.v +++ b/library/altera/common/ad_lvds_out.v @@ -2,21 +2,23 @@ // *************************************************************************** // Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved. // -// Each core or library found in this collection may have its own licensing terms. -// The user should keep this in in mind while exploring these cores. +// This core is distributed in the hope that it will be useful, but WITHOUT ANY +// WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR +// A PARTICULAR PURPOSE. // -// Redistribution and use in source and binary forms, -// with or without modification of this file, are permitted under the terms of either -// (at the option of the user): +// Redistribution and use of source or resulting binaries, with or without modification +// of this file, are permitted under one of the following two license terms: // // 1. The GNU General Public License version 2 as published by the -// Free Software Foundation, which can be found in the top level directory, or at: -// https://www.gnu.org/licenses/old-licenses/gpl-2.0.en.html +// Free Software Foundation, which can be found in the top level directory of +// the repository (LICENSE_GPL2), and at: // // OR // -// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: -// https://github.com/analogdevicesinc/hdl/blob/dev/LICENSE +// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: +// https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD +// This will allow to generate bit files and not release the source code, +// as long as it attaches to an ADI device. // // *************************************************************************** // *************************************************************************** diff --git a/library/altera/common/ad_mem_asym.v b/library/altera/common/ad_mem_asym.v index bcd6e61ad..9bb608f5c 100644 --- a/library/altera/common/ad_mem_asym.v +++ b/library/altera/common/ad_mem_asym.v @@ -2,21 +2,23 @@ // *************************************************************************** // Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved. // -// Each core or library found in this collection may have its own licensing terms. -// The user should keep this in in mind while exploring these cores. +// This core is distributed in the hope that it will be useful, but WITHOUT ANY +// WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR +// A PARTICULAR PURPOSE. // -// Redistribution and use in source and binary forms, -// with or without modification of this file, are permitted under the terms of either -// (at the option of the user): +// Redistribution and use of source or resulting binaries, with or without modification +// of this file, are permitted under one of the following two license terms: // // 1. The GNU General Public License version 2 as published by the -// Free Software Foundation, which can be found in the top level directory, or at: -// https://www.gnu.org/licenses/old-licenses/gpl-2.0.en.html +// Free Software Foundation, which can be found in the top level directory of +// the repository (LICENSE_GPL2), and at: // // OR // -// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: -// https://github.com/analogdevicesinc/hdl/blob/dev/LICENSE +// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: +// https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD +// This will allow to generate bit files and not release the source code, +// as long as it attaches to an ADI device. // // *************************************************************************** // *************************************************************************** diff --git a/library/altera/common/ad_mul.v b/library/altera/common/ad_mul.v index c036d2bc3..10bc0ae4a 100644 --- a/library/altera/common/ad_mul.v +++ b/library/altera/common/ad_mul.v @@ -2,21 +2,23 @@ // *************************************************************************** // Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved. // -// Each core or library found in this collection may have its own licensing terms. -// The user should keep this in in mind while exploring these cores. +// This core is distributed in the hope that it will be useful, but WITHOUT ANY +// WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR +// A PARTICULAR PURPOSE. // -// Redistribution and use in source and binary forms, -// with or without modification of this file, are permitted under the terms of either -// (at the option of the user): +// Redistribution and use of source or resulting binaries, with or without modification +// of this file, are permitted under one of the following two license terms: // // 1. The GNU General Public License version 2 as published by the -// Free Software Foundation, which can be found in the top level directory, or at: -// https://www.gnu.org/licenses/old-licenses/gpl-2.0.en.html +// Free Software Foundation, which can be found in the top level directory of +// the repository (LICENSE_GPL2), and at: // // OR // -// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: -// https://github.com/analogdevicesinc/hdl/blob/dev/LICENSE +// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: +// https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD +// This will allow to generate bit files and not release the source code, +// as long as it attaches to an ADI device. // // *************************************************************************** // *************************************************************************** diff --git a/library/altera/common/ad_serdes_clk.v b/library/altera/common/ad_serdes_clk.v index a38715672..93f99d442 100644 --- a/library/altera/common/ad_serdes_clk.v +++ b/library/altera/common/ad_serdes_clk.v @@ -2,21 +2,23 @@ // *************************************************************************** // Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved. // -// Each core or library found in this collection may have its own licensing terms. -// The user should keep this in in mind while exploring these cores. +// This core is distributed in the hope that it will be useful, but WITHOUT ANY +// WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR +// A PARTICULAR PURPOSE. // -// Redistribution and use in source and binary forms, -// with or without modification of this file, are permitted under the terms of either -// (at the option of the user): +// Redistribution and use of source or resulting binaries, with or without modification +// of this file, are permitted under one of the following two license terms: // // 1. The GNU General Public License version 2 as published by the -// Free Software Foundation, which can be found in the top level directory, or at: -// https://www.gnu.org/licenses/old-licenses/gpl-2.0.en.html +// Free Software Foundation, which can be found in the top level directory of +// the repository (LICENSE_GPL2), and at: // // OR // -// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: -// https://github.com/analogdevicesinc/hdl/blob/dev/LICENSE +// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: +// https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD +// This will allow to generate bit files and not release the source code, +// as long as it attaches to an ADI device. // // *************************************************************************** // *************************************************************************** diff --git a/library/altera/common/ad_serdes_in.v b/library/altera/common/ad_serdes_in.v index 0b094dd43..7bb309b13 100644 --- a/library/altera/common/ad_serdes_in.v +++ b/library/altera/common/ad_serdes_in.v @@ -2,21 +2,23 @@ // *************************************************************************** // Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved. // -// Each core or library found in this collection may have its own licensing terms. -// The user should keep this in in mind while exploring these cores. +// This core is distributed in the hope that it will be useful, but WITHOUT ANY +// WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR +// A PARTICULAR PURPOSE. // -// Redistribution and use in source and binary forms, -// with or without modification of this file, are permitted under the terms of either -// (at the option of the user): +// Redistribution and use of source or resulting binaries, with or without modification +// of this file, are permitted under one of the following two license terms: // // 1. The GNU General Public License version 2 as published by the -// Free Software Foundation, which can be found in the top level directory, or at: -// https://www.gnu.org/licenses/old-licenses/gpl-2.0.en.html +// Free Software Foundation, which can be found in the top level directory of +// the repository (LICENSE_GPL2), and at: // // OR // -// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: -// https://github.com/analogdevicesinc/hdl/blob/dev/LICENSE +// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: +// https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD +// This will allow to generate bit files and not release the source code, +// as long as it attaches to an ADI device. // // *************************************************************************** // *************************************************************************** diff --git a/library/altera/common/ad_serdes_in_core_c5.v b/library/altera/common/ad_serdes_in_core_c5.v index 08c09f523..c6d644fae 100644 --- a/library/altera/common/ad_serdes_in_core_c5.v +++ b/library/altera/common/ad_serdes_in_core_c5.v @@ -2,21 +2,23 @@ // *************************************************************************** // Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved. // -// Each core or library found in this collection may have its own licensing terms. -// The user should keep this in in mind while exploring these cores. +// This core is distributed in the hope that it will be useful, but WITHOUT ANY +// WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR +// A PARTICULAR PURPOSE. // -// Redistribution and use in source and binary forms, -// with or without modification of this file, are permitted under the terms of either -// (at the option of the user): +// Redistribution and use of source or resulting binaries, with or without modification +// of this file, are permitted under one of the following two license terms: // // 1. The GNU General Public License version 2 as published by the -// Free Software Foundation, which can be found in the top level directory, or at: -// https://www.gnu.org/licenses/old-licenses/gpl-2.0.en.html +// Free Software Foundation, which can be found in the top level directory of +// the repository (LICENSE_GPL2), and at: // // OR // -// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: -// https://github.com/analogdevicesinc/hdl/blob/dev/LICENSE +// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: +// https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD +// This will allow to generate bit files and not release the source code, +// as long as it attaches to an ADI device. // // *************************************************************************** // *************************************************************************** diff --git a/library/altera/common/ad_serdes_out.v b/library/altera/common/ad_serdes_out.v index fd04d0bc8..499385caf 100644 --- a/library/altera/common/ad_serdes_out.v +++ b/library/altera/common/ad_serdes_out.v @@ -2,21 +2,23 @@ // *************************************************************************** // Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved. // -// Each core or library found in this collection may have its own licensing terms. -// The user should keep this in in mind while exploring these cores. +// This core is distributed in the hope that it will be useful, but WITHOUT ANY +// WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR +// A PARTICULAR PURPOSE. // -// Redistribution and use in source and binary forms, -// with or without modification of this file, are permitted under the terms of either -// (at the option of the user): +// Redistribution and use of source or resulting binaries, with or without modification +// of this file, are permitted under one of the following two license terms: // // 1. The GNU General Public License version 2 as published by the -// Free Software Foundation, which can be found in the top level directory, or at: -// https://www.gnu.org/licenses/old-licenses/gpl-2.0.en.html +// Free Software Foundation, which can be found in the top level directory of +// the repository (LICENSE_GPL2), and at: // // OR // -// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: -// https://github.com/analogdevicesinc/hdl/blob/dev/LICENSE +// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: +// https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD +// This will allow to generate bit files and not release the source code, +// as long as it attaches to an ADI device. // // *************************************************************************** // *************************************************************************** diff --git a/library/altera/common/ad_serdes_out_core_c5.v b/library/altera/common/ad_serdes_out_core_c5.v index 64b6cf7c3..4119d18ce 100644 --- a/library/altera/common/ad_serdes_out_core_c5.v +++ b/library/altera/common/ad_serdes_out_core_c5.v @@ -2,21 +2,23 @@ // *************************************************************************** // Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved. // -// Each core or library found in this collection may have its own licensing terms. -// The user should keep this in in mind while exploring these cores. +// This core is distributed in the hope that it will be useful, but WITHOUT ANY +// WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR +// A PARTICULAR PURPOSE. // -// Redistribution and use in source and binary forms, -// with or without modification of this file, are permitted under the terms of either -// (at the option of the user): +// Redistribution and use of source or resulting binaries, with or without modification +// of this file, are permitted under one of the following two license terms: // // 1. The GNU General Public License version 2 as published by the -// Free Software Foundation, which can be found in the top level directory, or at: -// https://www.gnu.org/licenses/old-licenses/gpl-2.0.en.html +// Free Software Foundation, which can be found in the top level directory of +// the repository (LICENSE_GPL2), and at: // // OR // -// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: -// https://github.com/analogdevicesinc/hdl/blob/dev/LICENSE +// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: +// https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD +// This will allow to generate bit files and not release the source code, +// as long as it attaches to an ADI device. // // *************************************************************************** // *************************************************************************** diff --git a/library/axi_ad5766/axi_ad5766.v b/library/axi_ad5766/axi_ad5766.v index a14a13044..75debb3f7 100644 --- a/library/axi_ad5766/axi_ad5766.v +++ b/library/axi_ad5766/axi_ad5766.v @@ -2,21 +2,23 @@ // *************************************************************************** // Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved. // -// Each core or library found in this collection may have its own licensing terms. -// The user should keep this in in mind while exploring these cores. +// This core is distributed in the hope that it will be useful, but WITHOUT ANY +// WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR +// A PARTICULAR PURPOSE. // -// Redistribution and use in source and binary forms, -// with or without modification of this file, are permitted under the terms of either -// (at the option of the user): +// Redistribution and use of source or resulting binaries, with or without modification +// of this file, are permitted under one of the following two license terms: // // 1. The GNU General Public License version 2 as published by the -// Free Software Foundation, which can be found in the top level directory, or at: -// https://www.gnu.org/licenses/old-licenses/gpl-2.0.en.html +// Free Software Foundation, which can be found in the top level directory of +// the repository (LICENSE_GPL2), and at: // // OR // -// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: -// https://github.com/analogdevicesinc/hdl/blob/dev/LICENSE +// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: +// https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD +// This will allow to generate bit files and not release the source code, +// as long as it attaches to an ADI device. // // *************************************************************************** // *************************************************************************** diff --git a/library/axi_ad5766/up_ad5766_sequencer.v b/library/axi_ad5766/up_ad5766_sequencer.v index f501ceac0..ad9f3a45b 100644 --- a/library/axi_ad5766/up_ad5766_sequencer.v +++ b/library/axi_ad5766/up_ad5766_sequencer.v @@ -2,21 +2,23 @@ // *************************************************************************** // Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved. // -// Each core or library found in this collection may have its own licensing terms. -// The user should keep this in in mind while exploring these cores. +// This core is distributed in the hope that it will be useful, but WITHOUT ANY +// WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR +// A PARTICULAR PURPOSE. // -// Redistribution and use in source and binary forms, -// with or without modification of this file, are permitted under the terms of either -// (at the option of the user): +// Redistribution and use of source or resulting binaries, with or without modification +// of this file, are permitted under one of the following two license terms: // // 1. The GNU General Public License version 2 as published by the -// Free Software Foundation, which can be found in the top level directory, or at: -// https://www.gnu.org/licenses/old-licenses/gpl-2.0.en.html +// Free Software Foundation, which can be found in the top level directory of +// the repository (LICENSE_GPL2), and at: // // OR // -// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: -// https://github.com/analogdevicesinc/hdl/blob/dev/LICENSE +// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: +// https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD +// This will allow to generate bit files and not release the source code, +// as long as it attaches to an ADI device. // // *************************************************************************** // *************************************************************************** diff --git a/library/axi_ad6676/axi_ad6676.v b/library/axi_ad6676/axi_ad6676.v index 6ec7e99f0..6e475f729 100755 --- a/library/axi_ad6676/axi_ad6676.v +++ b/library/axi_ad6676/axi_ad6676.v @@ -2,21 +2,23 @@ // *************************************************************************** // Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved. // -// Each core or library found in this collection may have its own licensing terms. -// The user should keep this in in mind while exploring these cores. +// This core is distributed in the hope that it will be useful, but WITHOUT ANY +// WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR +// A PARTICULAR PURPOSE. // -// Redistribution and use in source and binary forms, -// with or without modification of this file, are permitted under the terms of either -// (at the option of the user): +// Redistribution and use of source or resulting binaries, with or without modification +// of this file, are permitted under one of the following two license terms: // // 1. The GNU General Public License version 2 as published by the -// Free Software Foundation, which can be found in the top level directory, or at: -// https://www.gnu.org/licenses/old-licenses/gpl-2.0.en.html +// Free Software Foundation, which can be found in the top level directory of +// the repository (LICENSE_GPL2), and at: // // OR // -// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: -// https://github.com/analogdevicesinc/hdl/blob/dev/LICENSE +// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: +// https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD +// This will allow to generate bit files and not release the source code, +// as long as it attaches to an ADI device. // // *************************************************************************** // *************************************************************************** diff --git a/library/axi_ad6676/axi_ad6676_channel.v b/library/axi_ad6676/axi_ad6676_channel.v index 92d121f1d..b093f33cf 100755 --- a/library/axi_ad6676/axi_ad6676_channel.v +++ b/library/axi_ad6676/axi_ad6676_channel.v @@ -2,21 +2,23 @@ // *************************************************************************** // Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved. // -// Each core or library found in this collection may have its own licensing terms. -// The user should keep this in in mind while exploring these cores. +// This core is distributed in the hope that it will be useful, but WITHOUT ANY +// WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR +// A PARTICULAR PURPOSE. // -// Redistribution and use in source and binary forms, -// with or without modification of this file, are permitted under the terms of either -// (at the option of the user): +// Redistribution and use of source or resulting binaries, with or without modification +// of this file, are permitted under one of the following two license terms: // // 1. The GNU General Public License version 2 as published by the -// Free Software Foundation, which can be found in the top level directory, or at: -// https://www.gnu.org/licenses/old-licenses/gpl-2.0.en.html +// Free Software Foundation, which can be found in the top level directory of +// the repository (LICENSE_GPL2), and at: // // OR // -// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: -// https://github.com/analogdevicesinc/hdl/blob/dev/LICENSE +// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: +// https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD +// This will allow to generate bit files and not release the source code, +// as long as it attaches to an ADI device. // // *************************************************************************** // *************************************************************************** diff --git a/library/axi_ad6676/axi_ad6676_if.v b/library/axi_ad6676/axi_ad6676_if.v index 4f35ff0a1..c95ca466e 100755 --- a/library/axi_ad6676/axi_ad6676_if.v +++ b/library/axi_ad6676/axi_ad6676_if.v @@ -2,21 +2,23 @@ // *************************************************************************** // Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved. // -// Each core or library found in this collection may have its own licensing terms. -// The user should keep this in in mind while exploring these cores. +// This core is distributed in the hope that it will be useful, but WITHOUT ANY +// WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR +// A PARTICULAR PURPOSE. // -// Redistribution and use in source and binary forms, -// with or without modification of this file, are permitted under the terms of either -// (at the option of the user): +// Redistribution and use of source or resulting binaries, with or without modification +// of this file, are permitted under one of the following two license terms: // // 1. The GNU General Public License version 2 as published by the -// Free Software Foundation, which can be found in the top level directory, or at: -// https://www.gnu.org/licenses/old-licenses/gpl-2.0.en.html +// Free Software Foundation, which can be found in the top level directory of +// the repository (LICENSE_GPL2), and at: // // OR // -// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: -// https://github.com/analogdevicesinc/hdl/blob/dev/LICENSE +// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: +// https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD +// This will allow to generate bit files and not release the source code, +// as long as it attaches to an ADI device. // // *************************************************************************** // *************************************************************************** diff --git a/library/axi_ad6676/axi_ad6676_pnmon.v b/library/axi_ad6676/axi_ad6676_pnmon.v index 08c281507..232453ebe 100755 --- a/library/axi_ad6676/axi_ad6676_pnmon.v +++ b/library/axi_ad6676/axi_ad6676_pnmon.v @@ -2,21 +2,23 @@ // *************************************************************************** // Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved. // -// Each core or library found in this collection may have its own licensing terms. -// The user should keep this in in mind while exploring these cores. +// This core is distributed in the hope that it will be useful, but WITHOUT ANY +// WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR +// A PARTICULAR PURPOSE. // -// Redistribution and use in source and binary forms, -// with or without modification of this file, are permitted under the terms of either -// (at the option of the user): +// Redistribution and use of source or resulting binaries, with or without modification +// of this file, are permitted under one of the following two license terms: // // 1. The GNU General Public License version 2 as published by the -// Free Software Foundation, which can be found in the top level directory, or at: -// https://www.gnu.org/licenses/old-licenses/gpl-2.0.en.html +// Free Software Foundation, which can be found in the top level directory of +// the repository (LICENSE_GPL2), and at: // // OR // -// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: -// https://github.com/analogdevicesinc/hdl/blob/dev/LICENSE +// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: +// https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD +// This will allow to generate bit files and not release the source code, +// as long as it attaches to an ADI device. // // *************************************************************************** // *************************************************************************** diff --git a/library/axi_ad7616/axi_ad7616.v b/library/axi_ad7616/axi_ad7616.v index 85cfb76b6..3b02d15c2 100644 --- a/library/axi_ad7616/axi_ad7616.v +++ b/library/axi_ad7616/axi_ad7616.v @@ -2,21 +2,23 @@ // *************************************************************************** // Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved. // -// Each core or library found in this collection may have its own licensing terms. -// The user should keep this in in mind while exploring these cores. +// This core is distributed in the hope that it will be useful, but WITHOUT ANY +// WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR +// A PARTICULAR PURPOSE. // -// Redistribution and use in source and binary forms, -// with or without modification of this file, are permitted under the terms of either -// (at the option of the user): +// Redistribution and use of source or resulting binaries, with or without modification +// of this file, are permitted under one of the following two license terms: // // 1. The GNU General Public License version 2 as published by the -// Free Software Foundation, which can be found in the top level directory, or at: -// https://www.gnu.org/licenses/old-licenses/gpl-2.0.en.html +// Free Software Foundation, which can be found in the top level directory of +// the repository (LICENSE_GPL2), and at: // // OR // -// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: -// https://github.com/analogdevicesinc/hdl/blob/dev/LICENSE +// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: +// https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD +// This will allow to generate bit files and not release the source code, +// as long as it attaches to an ADI device. // // *************************************************************************** // *************************************************************************** diff --git a/library/axi_ad7616/axi_ad7616_control.v b/library/axi_ad7616/axi_ad7616_control.v index 60f0c1bad..3a08e12fa 100644 --- a/library/axi_ad7616/axi_ad7616_control.v +++ b/library/axi_ad7616/axi_ad7616_control.v @@ -2,21 +2,23 @@ // *************************************************************************** // Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved. // -// Each core or library found in this collection may have its own licensing terms. -// The user should keep this in in mind while exploring these cores. +// This core is distributed in the hope that it will be useful, but WITHOUT ANY +// WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR +// A PARTICULAR PURPOSE. // -// Redistribution and use in source and binary forms, -// with or without modification of this file, are permitted under the terms of either -// (at the option of the user): +// Redistribution and use of source or resulting binaries, with or without modification +// of this file, are permitted under one of the following two license terms: // // 1. The GNU General Public License version 2 as published by the -// Free Software Foundation, which can be found in the top level directory, or at: -// https://www.gnu.org/licenses/old-licenses/gpl-2.0.en.html +// Free Software Foundation, which can be found in the top level directory of +// the repository (LICENSE_GPL2), and at: // // OR // -// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: -// https://github.com/analogdevicesinc/hdl/blob/dev/LICENSE +// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: +// https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD +// This will allow to generate bit files and not release the source code, +// as long as it attaches to an ADI device. // // *************************************************************************** // *************************************************************************** diff --git a/library/axi_ad7616/axi_ad7616_maxis2wrfifo.v b/library/axi_ad7616/axi_ad7616_maxis2wrfifo.v index 8531724d6..d9e816e83 100644 --- a/library/axi_ad7616/axi_ad7616_maxis2wrfifo.v +++ b/library/axi_ad7616/axi_ad7616_maxis2wrfifo.v @@ -2,21 +2,23 @@ // *************************************************************************** // Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved. // -// Each core or library found in this collection may have its own licensing terms. -// The user should keep this in in mind while exploring these cores. +// This core is distributed in the hope that it will be useful, but WITHOUT ANY +// WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR +// A PARTICULAR PURPOSE. // -// Redistribution and use in source and binary forms, -// with or without modification of this file, are permitted under the terms of either -// (at the option of the user): +// Redistribution and use of source or resulting binaries, with or without modification +// of this file, are permitted under one of the following two license terms: // // 1. The GNU General Public License version 2 as published by the -// Free Software Foundation, which can be found in the top level directory, or at: -// https://www.gnu.org/licenses/old-licenses/gpl-2.0.en.html +// Free Software Foundation, which can be found in the top level directory of +// the repository (LICENSE_GPL2), and at: // // OR // -// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: -// https://github.com/analogdevicesinc/hdl/blob/dev/LICENSE +// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: +// https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD +// This will allow to generate bit files and not release the source code, +// as long as it attaches to an ADI device. // // *************************************************************************** // *************************************************************************** diff --git a/library/axi_ad7616/axi_ad7616_pif.v b/library/axi_ad7616/axi_ad7616_pif.v index 38820251f..9113a3f4f 100644 --- a/library/axi_ad7616/axi_ad7616_pif.v +++ b/library/axi_ad7616/axi_ad7616_pif.v @@ -2,21 +2,23 @@ // *************************************************************************** // Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved. // -// Each core or library found in this collection may have its own licensing terms. -// The user should keep this in in mind while exploring these cores. +// This core is distributed in the hope that it will be useful, but WITHOUT ANY +// WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR +// A PARTICULAR PURPOSE. // -// Redistribution and use in source and binary forms, -// with or without modification of this file, are permitted under the terms of either -// (at the option of the user): +// Redistribution and use of source or resulting binaries, with or without modification +// of this file, are permitted under one of the following two license terms: // // 1. The GNU General Public License version 2 as published by the -// Free Software Foundation, which can be found in the top level directory, or at: -// https://www.gnu.org/licenses/old-licenses/gpl-2.0.en.html +// Free Software Foundation, which can be found in the top level directory of +// the repository (LICENSE_GPL2), and at: // // OR // -// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: -// https://github.com/analogdevicesinc/hdl/blob/dev/LICENSE +// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: +// https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD +// This will allow to generate bit files and not release the source code, +// as long as it attaches to an ADI device. // // *************************************************************************** // *************************************************************************** diff --git a/library/axi_ad9122/axi_ad9122.v b/library/axi_ad9122/axi_ad9122.v index 10e0474df..1e7769041 100644 --- a/library/axi_ad9122/axi_ad9122.v +++ b/library/axi_ad9122/axi_ad9122.v @@ -2,21 +2,23 @@ // *************************************************************************** // Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved. // -// Each core or library found in this collection may have its own licensing terms. -// The user should keep this in in mind while exploring these cores. +// This core is distributed in the hope that it will be useful, but WITHOUT ANY +// WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR +// A PARTICULAR PURPOSE. // -// Redistribution and use in source and binary forms, -// with or without modification of this file, are permitted under the terms of either -// (at the option of the user): +// Redistribution and use of source or resulting binaries, with or without modification +// of this file, are permitted under one of the following two license terms: // // 1. The GNU General Public License version 2 as published by the -// Free Software Foundation, which can be found in the top level directory, or at: -// https://www.gnu.org/licenses/old-licenses/gpl-2.0.en.html +// Free Software Foundation, which can be found in the top level directory of +// the repository (LICENSE_GPL2), and at: // // OR // -// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: -// https://github.com/analogdevicesinc/hdl/blob/dev/LICENSE +// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: +// https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD +// This will allow to generate bit files and not release the source code, +// as long as it attaches to an ADI device. // // *************************************************************************** // *************************************************************************** diff --git a/library/axi_ad9122/axi_ad9122_channel.v b/library/axi_ad9122/axi_ad9122_channel.v index 0aeebf02c..765db3a16 100644 --- a/library/axi_ad9122/axi_ad9122_channel.v +++ b/library/axi_ad9122/axi_ad9122_channel.v @@ -2,21 +2,23 @@ // *************************************************************************** // Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved. // -// Each core or library found in this collection may have its own licensing terms. -// The user should keep this in in mind while exploring these cores. +// This core is distributed in the hope that it will be useful, but WITHOUT ANY +// WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR +// A PARTICULAR PURPOSE. // -// Redistribution and use in source and binary forms, -// with or without modification of this file, are permitted under the terms of either -// (at the option of the user): +// Redistribution and use of source or resulting binaries, with or without modification +// of this file, are permitted under one of the following two license terms: // // 1. The GNU General Public License version 2 as published by the -// Free Software Foundation, which can be found in the top level directory, or at: -// https://www.gnu.org/licenses/old-licenses/gpl-2.0.en.html +// Free Software Foundation, which can be found in the top level directory of +// the repository (LICENSE_GPL2), and at: // // OR // -// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: -// https://github.com/analogdevicesinc/hdl/blob/dev/LICENSE +// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: +// https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD +// This will allow to generate bit files and not release the source code, +// as long as it attaches to an ADI device. // // *************************************************************************** // *************************************************************************** diff --git a/library/axi_ad9122/axi_ad9122_core.v b/library/axi_ad9122/axi_ad9122_core.v index 1f07fa94a..9d19bd41e 100644 --- a/library/axi_ad9122/axi_ad9122_core.v +++ b/library/axi_ad9122/axi_ad9122_core.v @@ -2,21 +2,23 @@ // *************************************************************************** // Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved. // -// Each core or library found in this collection may have its own licensing terms. -// The user should keep this in in mind while exploring these cores. +// This core is distributed in the hope that it will be useful, but WITHOUT ANY +// WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR +// A PARTICULAR PURPOSE. // -// Redistribution and use in source and binary forms, -// with or without modification of this file, are permitted under the terms of either -// (at the option of the user): +// Redistribution and use of source or resulting binaries, with or without modification +// of this file, are permitted under one of the following two license terms: // // 1. The GNU General Public License version 2 as published by the -// Free Software Foundation, which can be found in the top level directory, or at: -// https://www.gnu.org/licenses/old-licenses/gpl-2.0.en.html +// Free Software Foundation, which can be found in the top level directory of +// the repository (LICENSE_GPL2), and at: // // OR // -// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: -// https://github.com/analogdevicesinc/hdl/blob/dev/LICENSE +// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: +// https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD +// This will allow to generate bit files and not release the source code, +// as long as it attaches to an ADI device. // // *************************************************************************** // *************************************************************************** diff --git a/library/axi_ad9122/axi_ad9122_if.v b/library/axi_ad9122/axi_ad9122_if.v index 4c4958e52..66cb05796 100644 --- a/library/axi_ad9122/axi_ad9122_if.v +++ b/library/axi_ad9122/axi_ad9122_if.v @@ -2,21 +2,23 @@ // *************************************************************************** // Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved. // -// Each core or library found in this collection may have its own licensing terms. -// The user should keep this in in mind while exploring these cores. +// This core is distributed in the hope that it will be useful, but WITHOUT ANY +// WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR +// A PARTICULAR PURPOSE. // -// Redistribution and use in source and binary forms, -// with or without modification of this file, are permitted under the terms of either -// (at the option of the user): +// Redistribution and use of source or resulting binaries, with or without modification +// of this file, are permitted under one of the following two license terms: // // 1. The GNU General Public License version 2 as published by the -// Free Software Foundation, which can be found in the top level directory, or at: -// https://www.gnu.org/licenses/old-licenses/gpl-2.0.en.html +// Free Software Foundation, which can be found in the top level directory of +// the repository (LICENSE_GPL2), and at: // // OR // -// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: -// https://github.com/analogdevicesinc/hdl/blob/dev/LICENSE +// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: +// https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD +// This will allow to generate bit files and not release the source code, +// as long as it attaches to an ADI device. // // *************************************************************************** // *************************************************************************** diff --git a/library/axi_ad9144/axi_ad9144.v b/library/axi_ad9144/axi_ad9144.v index dae59d8a4..c6520ca91 100644 --- a/library/axi_ad9144/axi_ad9144.v +++ b/library/axi_ad9144/axi_ad9144.v @@ -2,21 +2,23 @@ // *************************************************************************** // Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved. // -// Each core or library found in this collection may have its own licensing terms. -// The user should keep this in in mind while exploring these cores. +// This core is distributed in the hope that it will be useful, but WITHOUT ANY +// WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR +// A PARTICULAR PURPOSE. // -// Redistribution and use in source and binary forms, -// with or without modification of this file, are permitted under the terms of either -// (at the option of the user): +// Redistribution and use of source or resulting binaries, with or without modification +// of this file, are permitted under one of the following two license terms: // // 1. The GNU General Public License version 2 as published by the -// Free Software Foundation, which can be found in the top level directory, or at: -// https://www.gnu.org/licenses/old-licenses/gpl-2.0.en.html +// Free Software Foundation, which can be found in the top level directory of +// the repository (LICENSE_GPL2), and at: // // OR // -// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: -// https://github.com/analogdevicesinc/hdl/blob/dev/LICENSE +// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: +// https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD +// This will allow to generate bit files and not release the source code, +// as long as it attaches to an ADI device. // // *************************************************************************** // *************************************************************************** diff --git a/library/axi_ad9144/axi_ad9144_channel.v b/library/axi_ad9144/axi_ad9144_channel.v index 205b8b236..d47c66319 100644 --- a/library/axi_ad9144/axi_ad9144_channel.v +++ b/library/axi_ad9144/axi_ad9144_channel.v @@ -2,21 +2,23 @@ // *************************************************************************** // Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved. // -// Each core or library found in this collection may have its own licensing terms. -// The user should keep this in in mind while exploring these cores. +// This core is distributed in the hope that it will be useful, but WITHOUT ANY +// WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR +// A PARTICULAR PURPOSE. // -// Redistribution and use in source and binary forms, -// with or without modification of this file, are permitted under the terms of either -// (at the option of the user): +// Redistribution and use of source or resulting binaries, with or without modification +// of this file, are permitted under one of the following two license terms: // // 1. The GNU General Public License version 2 as published by the -// Free Software Foundation, which can be found in the top level directory, or at: -// https://www.gnu.org/licenses/old-licenses/gpl-2.0.en.html +// Free Software Foundation, which can be found in the top level directory of +// the repository (LICENSE_GPL2), and at: // // OR // -// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: -// https://github.com/analogdevicesinc/hdl/blob/dev/LICENSE +// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: +// https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD +// This will allow to generate bit files and not release the source code, +// as long as it attaches to an ADI device. // // *************************************************************************** // *************************************************************************** diff --git a/library/axi_ad9144/axi_ad9144_core.v b/library/axi_ad9144/axi_ad9144_core.v index bbc54adfd..0e7ab48ce 100644 --- a/library/axi_ad9144/axi_ad9144_core.v +++ b/library/axi_ad9144/axi_ad9144_core.v @@ -2,21 +2,23 @@ // *************************************************************************** // Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved. // -// Each core or library found in this collection may have its own licensing terms. -// The user should keep this in in mind while exploring these cores. +// This core is distributed in the hope that it will be useful, but WITHOUT ANY +// WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR +// A PARTICULAR PURPOSE. // -// Redistribution and use in source and binary forms, -// with or without modification of this file, are permitted under the terms of either -// (at the option of the user): +// Redistribution and use of source or resulting binaries, with or without modification +// of this file, are permitted under one of the following two license terms: // // 1. The GNU General Public License version 2 as published by the -// Free Software Foundation, which can be found in the top level directory, or at: -// https://www.gnu.org/licenses/old-licenses/gpl-2.0.en.html +// Free Software Foundation, which can be found in the top level directory of +// the repository (LICENSE_GPL2), and at: // // OR // -// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: -// https://github.com/analogdevicesinc/hdl/blob/dev/LICENSE +// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: +// https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD +// This will allow to generate bit files and not release the source code, +// as long as it attaches to an ADI device. // // *************************************************************************** // *************************************************************************** diff --git a/library/axi_ad9144/axi_ad9144_if.v b/library/axi_ad9144/axi_ad9144_if.v index 31cddac96..d28dec8ce 100644 --- a/library/axi_ad9144/axi_ad9144_if.v +++ b/library/axi_ad9144/axi_ad9144_if.v @@ -2,21 +2,23 @@ // *************************************************************************** // Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved. // -// Each core or library found in this collection may have its own licensing terms. -// The user should keep this in in mind while exploring these cores. +// This core is distributed in the hope that it will be useful, but WITHOUT ANY +// WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR +// A PARTICULAR PURPOSE. // -// Redistribution and use in source and binary forms, -// with or without modification of this file, are permitted under the terms of either -// (at the option of the user): +// Redistribution and use of source or resulting binaries, with or without modification +// of this file, are permitted under one of the following two license terms: // // 1. The GNU General Public License version 2 as published by the -// Free Software Foundation, which can be found in the top level directory, or at: -// https://www.gnu.org/licenses/old-licenses/gpl-2.0.en.html +// Free Software Foundation, which can be found in the top level directory of +// the repository (LICENSE_GPL2), and at: // // OR // -// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: -// https://github.com/analogdevicesinc/hdl/blob/dev/LICENSE +// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: +// https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD +// This will allow to generate bit files and not release the source code, +// as long as it attaches to an ADI device. // // *************************************************************************** // *************************************************************************** diff --git a/library/axi_ad9152/axi_ad9152.v b/library/axi_ad9152/axi_ad9152.v index f8c21d200..06ae09df3 100644 --- a/library/axi_ad9152/axi_ad9152.v +++ b/library/axi_ad9152/axi_ad9152.v @@ -2,21 +2,23 @@ // *************************************************************************** // Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved. // -// Each core or library found in this collection may have its own licensing terms. -// The user should keep this in in mind while exploring these cores. +// This core is distributed in the hope that it will be useful, but WITHOUT ANY +// WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR +// A PARTICULAR PURPOSE. // -// Redistribution and use in source and binary forms, -// with or without modification of this file, are permitted under the terms of either -// (at the option of the user): +// Redistribution and use of source or resulting binaries, with or without modification +// of this file, are permitted under one of the following two license terms: // // 1. The GNU General Public License version 2 as published by the -// Free Software Foundation, which can be found in the top level directory, or at: -// https://www.gnu.org/licenses/old-licenses/gpl-2.0.en.html +// Free Software Foundation, which can be found in the top level directory of +// the repository (LICENSE_GPL2), and at: // // OR // -// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: -// https://github.com/analogdevicesinc/hdl/blob/dev/LICENSE +// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: +// https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD +// This will allow to generate bit files and not release the source code, +// as long as it attaches to an ADI device. // // *************************************************************************** // *************************************************************************** diff --git a/library/axi_ad9152/axi_ad9152_channel.v b/library/axi_ad9152/axi_ad9152_channel.v index 5b6ef683a..cbdf62258 100644 --- a/library/axi_ad9152/axi_ad9152_channel.v +++ b/library/axi_ad9152/axi_ad9152_channel.v @@ -2,21 +2,23 @@ // *************************************************************************** // Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved. // -// Each core or library found in this collection may have its own licensing terms. -// The user should keep this in in mind while exploring these cores. +// This core is distributed in the hope that it will be useful, but WITHOUT ANY +// WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR +// A PARTICULAR PURPOSE. // -// Redistribution and use in source and binary forms, -// with or without modification of this file, are permitted under the terms of either -// (at the option of the user): +// Redistribution and use of source or resulting binaries, with or without modification +// of this file, are permitted under one of the following two license terms: // // 1. The GNU General Public License version 2 as published by the -// Free Software Foundation, which can be found in the top level directory, or at: -// https://www.gnu.org/licenses/old-licenses/gpl-2.0.en.html +// Free Software Foundation, which can be found in the top level directory of +// the repository (LICENSE_GPL2), and at: // // OR // -// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: -// https://github.com/analogdevicesinc/hdl/blob/dev/LICENSE +// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: +// https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD +// This will allow to generate bit files and not release the source code, +// as long as it attaches to an ADI device. // // *************************************************************************** // *************************************************************************** diff --git a/library/axi_ad9152/axi_ad9152_core.v b/library/axi_ad9152/axi_ad9152_core.v index 5199f3032..84843e7e7 100644 --- a/library/axi_ad9152/axi_ad9152_core.v +++ b/library/axi_ad9152/axi_ad9152_core.v @@ -2,21 +2,23 @@ // *************************************************************************** // Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved. // -// Each core or library found in this collection may have its own licensing terms. -// The user should keep this in in mind while exploring these cores. +// This core is distributed in the hope that it will be useful, but WITHOUT ANY +// WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR +// A PARTICULAR PURPOSE. // -// Redistribution and use in source and binary forms, -// with or without modification of this file, are permitted under the terms of either -// (at the option of the user): +// Redistribution and use of source or resulting binaries, with or without modification +// of this file, are permitted under one of the following two license terms: // // 1. The GNU General Public License version 2 as published by the -// Free Software Foundation, which can be found in the top level directory, or at: -// https://www.gnu.org/licenses/old-licenses/gpl-2.0.en.html +// Free Software Foundation, which can be found in the top level directory of +// the repository (LICENSE_GPL2), and at: // // OR // -// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: -// https://github.com/analogdevicesinc/hdl/blob/dev/LICENSE +// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: +// https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD +// This will allow to generate bit files and not release the source code, +// as long as it attaches to an ADI device. // // *************************************************************************** // *************************************************************************** diff --git a/library/axi_ad9152/axi_ad9152_if.v b/library/axi_ad9152/axi_ad9152_if.v index 828221b69..3d951fe7a 100644 --- a/library/axi_ad9152/axi_ad9152_if.v +++ b/library/axi_ad9152/axi_ad9152_if.v @@ -2,21 +2,23 @@ // *************************************************************************** // Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved. // -// Each core or library found in this collection may have its own licensing terms. -// The user should keep this in in mind while exploring these cores. +// This core is distributed in the hope that it will be useful, but WITHOUT ANY +// WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR +// A PARTICULAR PURPOSE. // -// Redistribution and use in source and binary forms, -// with or without modification of this file, are permitted under the terms of either -// (at the option of the user): +// Redistribution and use of source or resulting binaries, with or without modification +// of this file, are permitted under one of the following two license terms: // // 1. The GNU General Public License version 2 as published by the -// Free Software Foundation, which can be found in the top level directory, or at: -// https://www.gnu.org/licenses/old-licenses/gpl-2.0.en.html +// Free Software Foundation, which can be found in the top level directory of +// the repository (LICENSE_GPL2), and at: // // OR // -// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: -// https://github.com/analogdevicesinc/hdl/blob/dev/LICENSE +// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: +// https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD +// This will allow to generate bit files and not release the source code, +// as long as it attaches to an ADI device. // // *************************************************************************** // *************************************************************************** diff --git a/library/axi_ad9162/axi_ad9162.v b/library/axi_ad9162/axi_ad9162.v index a1c1f4e05..4198a15b7 100644 --- a/library/axi_ad9162/axi_ad9162.v +++ b/library/axi_ad9162/axi_ad9162.v @@ -2,21 +2,23 @@ // *************************************************************************** // Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved. // -// Each core or library found in this collection may have its own licensing terms. -// The user should keep this in in mind while exploring these cores. +// This core is distributed in the hope that it will be useful, but WITHOUT ANY +// WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR +// A PARTICULAR PURPOSE. // -// Redistribution and use in source and binary forms, -// with or without modification of this file, are permitted under the terms of either -// (at the option of the user): +// Redistribution and use of source or resulting binaries, with or without modification +// of this file, are permitted under one of the following two license terms: // // 1. The GNU General Public License version 2 as published by the -// Free Software Foundation, which can be found in the top level directory, or at: -// https://www.gnu.org/licenses/old-licenses/gpl-2.0.en.html +// Free Software Foundation, which can be found in the top level directory of +// the repository (LICENSE_GPL2), and at: // // OR // -// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: -// https://github.com/analogdevicesinc/hdl/blob/dev/LICENSE +// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: +// https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD +// This will allow to generate bit files and not release the source code, +// as long as it attaches to an ADI device. // // *************************************************************************** // *************************************************************************** diff --git a/library/axi_ad9162/axi_ad9162_channel.v b/library/axi_ad9162/axi_ad9162_channel.v index 35d5be16a..9195b83db 100644 --- a/library/axi_ad9162/axi_ad9162_channel.v +++ b/library/axi_ad9162/axi_ad9162_channel.v @@ -2,21 +2,23 @@ // *************************************************************************** // Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved. // -// Each core or library found in this collection may have its own licensing terms. -// The user should keep this in in mind while exploring these cores. +// This core is distributed in the hope that it will be useful, but WITHOUT ANY +// WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR +// A PARTICULAR PURPOSE. // -// Redistribution and use in source and binary forms, -// with or without modification of this file, are permitted under the terms of either -// (at the option of the user): +// Redistribution and use of source or resulting binaries, with or without modification +// of this file, are permitted under one of the following two license terms: // // 1. The GNU General Public License version 2 as published by the -// Free Software Foundation, which can be found in the top level directory, or at: -// https://www.gnu.org/licenses/old-licenses/gpl-2.0.en.html +// Free Software Foundation, which can be found in the top level directory of +// the repository (LICENSE_GPL2), and at: // // OR // -// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: -// https://github.com/analogdevicesinc/hdl/blob/dev/LICENSE +// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: +// https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD +// This will allow to generate bit files and not release the source code, +// as long as it attaches to an ADI device. // // *************************************************************************** // *************************************************************************** diff --git a/library/axi_ad9162/axi_ad9162_core.v b/library/axi_ad9162/axi_ad9162_core.v index 6459f1d1c..334295919 100644 --- a/library/axi_ad9162/axi_ad9162_core.v +++ b/library/axi_ad9162/axi_ad9162_core.v @@ -2,21 +2,23 @@ // *************************************************************************** // Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved. // -// Each core or library found in this collection may have its own licensing terms. -// The user should keep this in in mind while exploring these cores. +// This core is distributed in the hope that it will be useful, but WITHOUT ANY +// WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR +// A PARTICULAR PURPOSE. // -// Redistribution and use in source and binary forms, -// with or without modification of this file, are permitted under the terms of either -// (at the option of the user): +// Redistribution and use of source or resulting binaries, with or without modification +// of this file, are permitted under one of the following two license terms: // // 1. The GNU General Public License version 2 as published by the -// Free Software Foundation, which can be found in the top level directory, or at: -// https://www.gnu.org/licenses/old-licenses/gpl-2.0.en.html +// Free Software Foundation, which can be found in the top level directory of +// the repository (LICENSE_GPL2), and at: // // OR // -// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: -// https://github.com/analogdevicesinc/hdl/blob/dev/LICENSE +// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: +// https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD +// This will allow to generate bit files and not release the source code, +// as long as it attaches to an ADI device. // // *************************************************************************** // *************************************************************************** diff --git a/library/axi_ad9162/axi_ad9162_if.v b/library/axi_ad9162/axi_ad9162_if.v index caa532e10..2675fe2b3 100644 --- a/library/axi_ad9162/axi_ad9162_if.v +++ b/library/axi_ad9162/axi_ad9162_if.v @@ -2,21 +2,23 @@ // *************************************************************************** // Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved. // -// Each core or library found in this collection may have its own licensing terms. -// The user should keep this in in mind while exploring these cores. +// This core is distributed in the hope that it will be useful, but WITHOUT ANY +// WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR +// A PARTICULAR PURPOSE. // -// Redistribution and use in source and binary forms, -// with or without modification of this file, are permitted under the terms of either -// (at the option of the user): +// Redistribution and use of source or resulting binaries, with or without modification +// of this file, are permitted under one of the following two license terms: // // 1. The GNU General Public License version 2 as published by the -// Free Software Foundation, which can be found in the top level directory, or at: -// https://www.gnu.org/licenses/old-licenses/gpl-2.0.en.html +// Free Software Foundation, which can be found in the top level directory of +// the repository (LICENSE_GPL2), and at: // // OR // -// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: -// https://github.com/analogdevicesinc/hdl/blob/dev/LICENSE +// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: +// https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD +// This will allow to generate bit files and not release the source code, +// as long as it attaches to an ADI device. // // *************************************************************************** // *************************************************************************** diff --git a/library/axi_ad9234/axi_ad9234.v b/library/axi_ad9234/axi_ad9234.v index d8a19b1c9..94f065bc1 100644 --- a/library/axi_ad9234/axi_ad9234.v +++ b/library/axi_ad9234/axi_ad9234.v @@ -2,21 +2,23 @@ // *************************************************************************** // Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved. // -// Each core or library found in this collection may have its own licensing terms. -// The user should keep this in in mind while exploring these cores. +// This core is distributed in the hope that it will be useful, but WITHOUT ANY +// WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR +// A PARTICULAR PURPOSE. // -// Redistribution and use in source and binary forms, -// with or without modification of this file, are permitted under the terms of either -// (at the option of the user): +// Redistribution and use of source or resulting binaries, with or without modification +// of this file, are permitted under one of the following two license terms: // // 1. The GNU General Public License version 2 as published by the -// Free Software Foundation, which can be found in the top level directory, or at: -// https://www.gnu.org/licenses/old-licenses/gpl-2.0.en.html +// Free Software Foundation, which can be found in the top level directory of +// the repository (LICENSE_GPL2), and at: // // OR // -// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: -// https://github.com/analogdevicesinc/hdl/blob/dev/LICENSE +// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: +// https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD +// This will allow to generate bit files and not release the source code, +// as long as it attaches to an ADI device. // // *************************************************************************** // *************************************************************************** diff --git a/library/axi_ad9234/axi_ad9234_channel.v b/library/axi_ad9234/axi_ad9234_channel.v index 1494be3b0..3ccf7e8d4 100644 --- a/library/axi_ad9234/axi_ad9234_channel.v +++ b/library/axi_ad9234/axi_ad9234_channel.v @@ -2,21 +2,23 @@ // *************************************************************************** // Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved. // -// Each core or library found in this collection may have its own licensing terms. -// The user should keep this in in mind while exploring these cores. +// This core is distributed in the hope that it will be useful, but WITHOUT ANY +// WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR +// A PARTICULAR PURPOSE. // -// Redistribution and use in source and binary forms, -// with or without modification of this file, are permitted under the terms of either -// (at the option of the user): +// Redistribution and use of source or resulting binaries, with or without modification +// of this file, are permitted under one of the following two license terms: // // 1. The GNU General Public License version 2 as published by the -// Free Software Foundation, which can be found in the top level directory, or at: -// https://www.gnu.org/licenses/old-licenses/gpl-2.0.en.html +// Free Software Foundation, which can be found in the top level directory of +// the repository (LICENSE_GPL2), and at: // // OR // -// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: -// https://github.com/analogdevicesinc/hdl/blob/dev/LICENSE +// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: +// https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD +// This will allow to generate bit files and not release the source code, +// as long as it attaches to an ADI device. // // *************************************************************************** // *************************************************************************** diff --git a/library/axi_ad9234/axi_ad9234_if.v b/library/axi_ad9234/axi_ad9234_if.v index d6f1a1436..f22d82603 100644 --- a/library/axi_ad9234/axi_ad9234_if.v +++ b/library/axi_ad9234/axi_ad9234_if.v @@ -2,21 +2,23 @@ // *************************************************************************** // Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved. // -// Each core or library found in this collection may have its own licensing terms. -// The user should keep this in in mind while exploring these cores. +// This core is distributed in the hope that it will be useful, but WITHOUT ANY +// WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR +// A PARTICULAR PURPOSE. // -// Redistribution and use in source and binary forms, -// with or without modification of this file, are permitted under the terms of either -// (at the option of the user): +// Redistribution and use of source or resulting binaries, with or without modification +// of this file, are permitted under one of the following two license terms: // // 1. The GNU General Public License version 2 as published by the -// Free Software Foundation, which can be found in the top level directory, or at: -// https://www.gnu.org/licenses/old-licenses/gpl-2.0.en.html +// Free Software Foundation, which can be found in the top level directory of +// the repository (LICENSE_GPL2), and at: // // OR // -// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: -// https://github.com/analogdevicesinc/hdl/blob/dev/LICENSE +// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: +// https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD +// This will allow to generate bit files and not release the source code, +// as long as it attaches to an ADI device. // // *************************************************************************** // *************************************************************************** diff --git a/library/axi_ad9234/axi_ad9234_pnmon.v b/library/axi_ad9234/axi_ad9234_pnmon.v index 565370e72..9c9148be5 100644 --- a/library/axi_ad9234/axi_ad9234_pnmon.v +++ b/library/axi_ad9234/axi_ad9234_pnmon.v @@ -2,21 +2,23 @@ // *************************************************************************** // Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved. // -// Each core or library found in this collection may have its own licensing terms. -// The user should keep this in in mind while exploring these cores. +// This core is distributed in the hope that it will be useful, but WITHOUT ANY +// WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR +// A PARTICULAR PURPOSE. // -// Redistribution and use in source and binary forms, -// with or without modification of this file, are permitted under the terms of either -// (at the option of the user): +// Redistribution and use of source or resulting binaries, with or without modification +// of this file, are permitted under one of the following two license terms: // // 1. The GNU General Public License version 2 as published by the -// Free Software Foundation, which can be found in the top level directory, or at: -// https://www.gnu.org/licenses/old-licenses/gpl-2.0.en.html +// Free Software Foundation, which can be found in the top level directory of +// the repository (LICENSE_GPL2), and at: // // OR // -// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: -// https://github.com/analogdevicesinc/hdl/blob/dev/LICENSE +// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: +// https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD +// This will allow to generate bit files and not release the source code, +// as long as it attaches to an ADI device. // // *************************************************************************** // *************************************************************************** diff --git a/library/axi_ad9250/axi_ad9250.v b/library/axi_ad9250/axi_ad9250.v index a41d53031..dae4bfc5e 100644 --- a/library/axi_ad9250/axi_ad9250.v +++ b/library/axi_ad9250/axi_ad9250.v @@ -2,21 +2,23 @@ // *************************************************************************** // Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved. // -// Each core or library found in this collection may have its own licensing terms. -// The user should keep this in in mind while exploring these cores. +// This core is distributed in the hope that it will be useful, but WITHOUT ANY +// WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR +// A PARTICULAR PURPOSE. // -// Redistribution and use in source and binary forms, -// with or without modification of this file, are permitted under the terms of either -// (at the option of the user): +// Redistribution and use of source or resulting binaries, with or without modification +// of this file, are permitted under one of the following two license terms: // // 1. The GNU General Public License version 2 as published by the -// Free Software Foundation, which can be found in the top level directory, or at: -// https://www.gnu.org/licenses/old-licenses/gpl-2.0.en.html +// Free Software Foundation, which can be found in the top level directory of +// the repository (LICENSE_GPL2), and at: // // OR // -// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: -// https://github.com/analogdevicesinc/hdl/blob/dev/LICENSE +// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: +// https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD +// This will allow to generate bit files and not release the source code, +// as long as it attaches to an ADI device. // // *************************************************************************** // *************************************************************************** diff --git a/library/axi_ad9250/axi_ad9250_channel.v b/library/axi_ad9250/axi_ad9250_channel.v index c6c77bd2d..cfe6ce235 100644 --- a/library/axi_ad9250/axi_ad9250_channel.v +++ b/library/axi_ad9250/axi_ad9250_channel.v @@ -2,21 +2,23 @@ // *************************************************************************** // Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved. // -// Each core or library found in this collection may have its own licensing terms. -// The user should keep this in in mind while exploring these cores. +// This core is distributed in the hope that it will be useful, but WITHOUT ANY +// WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR +// A PARTICULAR PURPOSE. // -// Redistribution and use in source and binary forms, -// with or without modification of this file, are permitted under the terms of either -// (at the option of the user): +// Redistribution and use of source or resulting binaries, with or without modification +// of this file, are permitted under one of the following two license terms: // // 1. The GNU General Public License version 2 as published by the -// Free Software Foundation, which can be found in the top level directory, or at: -// https://www.gnu.org/licenses/old-licenses/gpl-2.0.en.html +// Free Software Foundation, which can be found in the top level directory of +// the repository (LICENSE_GPL2), and at: // // OR // -// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: -// https://github.com/analogdevicesinc/hdl/blob/dev/LICENSE +// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: +// https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD +// This will allow to generate bit files and not release the source code, +// as long as it attaches to an ADI device. // // *************************************************************************** // *************************************************************************** diff --git a/library/axi_ad9250/axi_ad9250_if.v b/library/axi_ad9250/axi_ad9250_if.v index c6ac97a7a..baa00cc46 100644 --- a/library/axi_ad9250/axi_ad9250_if.v +++ b/library/axi_ad9250/axi_ad9250_if.v @@ -2,21 +2,23 @@ // *************************************************************************** // Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved. // -// Each core or library found in this collection may have its own licensing terms. -// The user should keep this in in mind while exploring these cores. +// This core is distributed in the hope that it will be useful, but WITHOUT ANY +// WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR +// A PARTICULAR PURPOSE. // -// Redistribution and use in source and binary forms, -// with or without modification of this file, are permitted under the terms of either -// (at the option of the user): +// Redistribution and use of source or resulting binaries, with or without modification +// of this file, are permitted under one of the following two license terms: // // 1. The GNU General Public License version 2 as published by the -// Free Software Foundation, which can be found in the top level directory, or at: -// https://www.gnu.org/licenses/old-licenses/gpl-2.0.en.html +// Free Software Foundation, which can be found in the top level directory of +// the repository (LICENSE_GPL2), and at: // // OR // -// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: -// https://github.com/analogdevicesinc/hdl/blob/dev/LICENSE +// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: +// https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD +// This will allow to generate bit files and not release the source code, +// as long as it attaches to an ADI device. // // *************************************************************************** // *************************************************************************** diff --git a/library/axi_ad9250/axi_ad9250_pnmon.v b/library/axi_ad9250/axi_ad9250_pnmon.v index 480547557..051f9d284 100644 --- a/library/axi_ad9250/axi_ad9250_pnmon.v +++ b/library/axi_ad9250/axi_ad9250_pnmon.v @@ -2,21 +2,23 @@ // *************************************************************************** // Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved. // -// Each core or library found in this collection may have its own licensing terms. -// The user should keep this in in mind while exploring these cores. +// This core is distributed in the hope that it will be useful, but WITHOUT ANY +// WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR +// A PARTICULAR PURPOSE. // -// Redistribution and use in source and binary forms, -// with or without modification of this file, are permitted under the terms of either -// (at the option of the user): +// Redistribution and use of source or resulting binaries, with or without modification +// of this file, are permitted under one of the following two license terms: // // 1. The GNU General Public License version 2 as published by the -// Free Software Foundation, which can be found in the top level directory, or at: -// https://www.gnu.org/licenses/old-licenses/gpl-2.0.en.html +// Free Software Foundation, which can be found in the top level directory of +// the repository (LICENSE_GPL2), and at: // // OR // -// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: -// https://github.com/analogdevicesinc/hdl/blob/dev/LICENSE +// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: +// https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD +// This will allow to generate bit files and not release the source code, +// as long as it attaches to an ADI device. // // *************************************************************************** // *************************************************************************** diff --git a/library/axi_ad9265/axi_ad9265.v b/library/axi_ad9265/axi_ad9265.v index 8dcf0f1bd..817124632 100644 --- a/library/axi_ad9265/axi_ad9265.v +++ b/library/axi_ad9265/axi_ad9265.v @@ -2,21 +2,23 @@ // *************************************************************************** // Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved. // -// Each core or library found in this collection may have its own licensing terms. -// The user should keep this in in mind while exploring these cores. +// This core is distributed in the hope that it will be useful, but WITHOUT ANY +// WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR +// A PARTICULAR PURPOSE. // -// Redistribution and use in source and binary forms, -// with or without modification of this file, are permitted under the terms of either -// (at the option of the user): +// Redistribution and use of source or resulting binaries, with or without modification +// of this file, are permitted under one of the following two license terms: // // 1. The GNU General Public License version 2 as published by the -// Free Software Foundation, which can be found in the top level directory, or at: -// https://www.gnu.org/licenses/old-licenses/gpl-2.0.en.html +// Free Software Foundation, which can be found in the top level directory of +// the repository (LICENSE_GPL2), and at: // // OR // -// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: -// https://github.com/analogdevicesinc/hdl/blob/dev/LICENSE +// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: +// https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD +// This will allow to generate bit files and not release the source code, +// as long as it attaches to an ADI device. // // *************************************************************************** // *************************************************************************** diff --git a/library/axi_ad9265/axi_ad9265_channel.v b/library/axi_ad9265/axi_ad9265_channel.v index 70a3056e2..af98b6ea9 100644 --- a/library/axi_ad9265/axi_ad9265_channel.v +++ b/library/axi_ad9265/axi_ad9265_channel.v @@ -2,21 +2,23 @@ // *************************************************************************** // Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved. // -// Each core or library found in this collection may have its own licensing terms. -// The user should keep this in in mind while exploring these cores. +// This core is distributed in the hope that it will be useful, but WITHOUT ANY +// WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR +// A PARTICULAR PURPOSE. // -// Redistribution and use in source and binary forms, -// with or without modification of this file, are permitted under the terms of either -// (at the option of the user): +// Redistribution and use of source or resulting binaries, with or without modification +// of this file, are permitted under one of the following two license terms: // // 1. The GNU General Public License version 2 as published by the -// Free Software Foundation, which can be found in the top level directory, or at: -// https://www.gnu.org/licenses/old-licenses/gpl-2.0.en.html +// Free Software Foundation, which can be found in the top level directory of +// the repository (LICENSE_GPL2), and at: // // OR // -// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: -// https://github.com/analogdevicesinc/hdl/blob/dev/LICENSE +// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: +// https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD +// This will allow to generate bit files and not release the source code, +// as long as it attaches to an ADI device. // // *************************************************************************** // *************************************************************************** diff --git a/library/axi_ad9265/axi_ad9265_if.v b/library/axi_ad9265/axi_ad9265_if.v index 0ea857f17..77bcf8326 100644 --- a/library/axi_ad9265/axi_ad9265_if.v +++ b/library/axi_ad9265/axi_ad9265_if.v @@ -2,21 +2,23 @@ // *************************************************************************** // Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved. // -// Each core or library found in this collection may have its own licensing terms. -// The user should keep this in in mind while exploring these cores. +// This core is distributed in the hope that it will be useful, but WITHOUT ANY +// WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR +// A PARTICULAR PURPOSE. // -// Redistribution and use in source and binary forms, -// with or without modification of this file, are permitted under the terms of either -// (at the option of the user): +// Redistribution and use of source or resulting binaries, with or without modification +// of this file, are permitted under one of the following two license terms: // // 1. The GNU General Public License version 2 as published by the -// Free Software Foundation, which can be found in the top level directory, or at: -// https://www.gnu.org/licenses/old-licenses/gpl-2.0.en.html +// Free Software Foundation, which can be found in the top level directory of +// the repository (LICENSE_GPL2), and at: // // OR // -// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: -// https://github.com/analogdevicesinc/hdl/blob/dev/LICENSE +// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: +// https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD +// This will allow to generate bit files and not release the source code, +// as long as it attaches to an ADI device. // // *************************************************************************** // *************************************************************************** diff --git a/library/axi_ad9265/axi_ad9265_pnmon.v b/library/axi_ad9265/axi_ad9265_pnmon.v index ebb6108ac..a69a93994 100644 --- a/library/axi_ad9265/axi_ad9265_pnmon.v +++ b/library/axi_ad9265/axi_ad9265_pnmon.v @@ -2,21 +2,23 @@ // *************************************************************************** // Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved. // -// Each core or library found in this collection may have its own licensing terms. -// The user should keep this in in mind while exploring these cores. +// This core is distributed in the hope that it will be useful, but WITHOUT ANY +// WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR +// A PARTICULAR PURPOSE. // -// Redistribution and use in source and binary forms, -// with or without modification of this file, are permitted under the terms of either -// (at the option of the user): +// Redistribution and use of source or resulting binaries, with or without modification +// of this file, are permitted under one of the following two license terms: // // 1. The GNU General Public License version 2 as published by the -// Free Software Foundation, which can be found in the top level directory, or at: -// https://www.gnu.org/licenses/old-licenses/gpl-2.0.en.html +// Free Software Foundation, which can be found in the top level directory of +// the repository (LICENSE_GPL2), and at: // // OR // -// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: -// https://github.com/analogdevicesinc/hdl/blob/dev/LICENSE +// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: +// https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD +// This will allow to generate bit files and not release the source code, +// as long as it attaches to an ADI device. // // *************************************************************************** // *************************************************************************** diff --git a/library/axi_ad9361/altera/axi_ad9361_cmos_if.v b/library/axi_ad9361/altera/axi_ad9361_cmos_if.v index 3337cf97a..a40bc0c8e 100644 --- a/library/axi_ad9361/altera/axi_ad9361_cmos_if.v +++ b/library/axi_ad9361/altera/axi_ad9361_cmos_if.v @@ -2,21 +2,23 @@ // *************************************************************************** // Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved. // -// Each core or library found in this collection may have its own licensing terms. -// The user should keep this in in mind while exploring these cores. +// This core is distributed in the hope that it will be useful, but WITHOUT ANY +// WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR +// A PARTICULAR PURPOSE. // -// Redistribution and use in source and binary forms, -// with or without modification of this file, are permitted under the terms of either -// (at the option of the user): +// Redistribution and use of source or resulting binaries, with or without modification +// of this file, are permitted under one of the following two license terms: // // 1. The GNU General Public License version 2 as published by the -// Free Software Foundation, which can be found in the top level directory, or at: -// https://www.gnu.org/licenses/old-licenses/gpl-2.0.en.html +// Free Software Foundation, which can be found in the top level directory of +// the repository (LICENSE_GPL2), and at: // // OR // -// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: -// https://github.com/analogdevicesinc/hdl/blob/dev/LICENSE +// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: +// https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD +// This will allow to generate bit files and not release the source code, +// as long as it attaches to an ADI device. // // *************************************************************************** // *************************************************************************** diff --git a/library/axi_ad9361/altera/axi_ad9361_lvds_if.v b/library/axi_ad9361/altera/axi_ad9361_lvds_if.v index 71305d6af..d7f51750d 100644 --- a/library/axi_ad9361/altera/axi_ad9361_lvds_if.v +++ b/library/axi_ad9361/altera/axi_ad9361_lvds_if.v @@ -2,21 +2,23 @@ // *************************************************************************** // Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved. // -// Each core or library found in this collection may have its own licensing terms. -// The user should keep this in in mind while exploring these cores. +// This core is distributed in the hope that it will be useful, but WITHOUT ANY +// WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR +// A PARTICULAR PURPOSE. // -// Redistribution and use in source and binary forms, -// with or without modification of this file, are permitted under the terms of either -// (at the option of the user): +// Redistribution and use of source or resulting binaries, with or without modification +// of this file, are permitted under one of the following two license terms: // // 1. The GNU General Public License version 2 as published by the -// Free Software Foundation, which can be found in the top level directory, or at: -// https://www.gnu.org/licenses/old-licenses/gpl-2.0.en.html +// Free Software Foundation, which can be found in the top level directory of +// the repository (LICENSE_GPL2), and at: // // OR // -// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: -// https://github.com/analogdevicesinc/hdl/blob/dev/LICENSE +// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: +// https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD +// This will allow to generate bit files and not release the source code, +// as long as it attaches to an ADI device. // // *************************************************************************** // *************************************************************************** diff --git a/library/axi_ad9361/axi_ad9361.v b/library/axi_ad9361/axi_ad9361.v index fe184d607..6df191a0f 100644 --- a/library/axi_ad9361/axi_ad9361.v +++ b/library/axi_ad9361/axi_ad9361.v @@ -2,21 +2,23 @@ // *************************************************************************** // Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved. // -// Each core or library found in this collection may have its own licensing terms. -// The user should keep this in in mind while exploring these cores. +// This core is distributed in the hope that it will be useful, but WITHOUT ANY +// WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR +// A PARTICULAR PURPOSE. // -// Redistribution and use in source and binary forms, -// with or without modification of this file, are permitted under the terms of either -// (at the option of the user): +// Redistribution and use of source or resulting binaries, with or without modification +// of this file, are permitted under one of the following two license terms: // // 1. The GNU General Public License version 2 as published by the -// Free Software Foundation, which can be found in the top level directory, or at: -// https://www.gnu.org/licenses/old-licenses/gpl-2.0.en.html +// Free Software Foundation, which can be found in the top level directory of +// the repository (LICENSE_GPL2), and at: // // OR // -// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: -// https://github.com/analogdevicesinc/hdl/blob/dev/LICENSE +// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: +// https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD +// This will allow to generate bit files and not release the source code, +// as long as it attaches to an ADI device. // // *************************************************************************** // *************************************************************************** diff --git a/library/axi_ad9361/axi_ad9361_rx.v b/library/axi_ad9361/axi_ad9361_rx.v index d9f4083bd..a082fd113 100644 --- a/library/axi_ad9361/axi_ad9361_rx.v +++ b/library/axi_ad9361/axi_ad9361_rx.v @@ -2,21 +2,23 @@ // *************************************************************************** // Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved. // -// Each core or library found in this collection may have its own licensing terms. -// The user should keep this in in mind while exploring these cores. +// This core is distributed in the hope that it will be useful, but WITHOUT ANY +// WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR +// A PARTICULAR PURPOSE. // -// Redistribution and use in source and binary forms, -// with or without modification of this file, are permitted under the terms of either -// (at the option of the user): +// Redistribution and use of source or resulting binaries, with or without modification +// of this file, are permitted under one of the following two license terms: // // 1. The GNU General Public License version 2 as published by the -// Free Software Foundation, which can be found in the top level directory, or at: -// https://www.gnu.org/licenses/old-licenses/gpl-2.0.en.html +// Free Software Foundation, which can be found in the top level directory of +// the repository (LICENSE_GPL2), and at: // // OR // -// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: -// https://github.com/analogdevicesinc/hdl/blob/dev/LICENSE +// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: +// https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD +// This will allow to generate bit files and not release the source code, +// as long as it attaches to an ADI device. // // *************************************************************************** // *************************************************************************** diff --git a/library/axi_ad9361/axi_ad9361_rx_channel.v b/library/axi_ad9361/axi_ad9361_rx_channel.v index c9f431a83..feaf58bcc 100644 --- a/library/axi_ad9361/axi_ad9361_rx_channel.v +++ b/library/axi_ad9361/axi_ad9361_rx_channel.v @@ -2,21 +2,23 @@ // *************************************************************************** // Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved. // -// Each core or library found in this collection may have its own licensing terms. -// The user should keep this in in mind while exploring these cores. +// This core is distributed in the hope that it will be useful, but WITHOUT ANY +// WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR +// A PARTICULAR PURPOSE. // -// Redistribution and use in source and binary forms, -// with or without modification of this file, are permitted under the terms of either -// (at the option of the user): +// Redistribution and use of source or resulting binaries, with or without modification +// of this file, are permitted under one of the following two license terms: // // 1. The GNU General Public License version 2 as published by the -// Free Software Foundation, which can be found in the top level directory, or at: -// https://www.gnu.org/licenses/old-licenses/gpl-2.0.en.html +// Free Software Foundation, which can be found in the top level directory of +// the repository (LICENSE_GPL2), and at: // // OR // -// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: -// https://github.com/analogdevicesinc/hdl/blob/dev/LICENSE +// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: +// https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD +// This will allow to generate bit files and not release the source code, +// as long as it attaches to an ADI device. // // *************************************************************************** // *************************************************************************** diff --git a/library/axi_ad9361/axi_ad9361_rx_pnmon.v b/library/axi_ad9361/axi_ad9361_rx_pnmon.v index afd25d73f..3e87d7d37 100644 --- a/library/axi_ad9361/axi_ad9361_rx_pnmon.v +++ b/library/axi_ad9361/axi_ad9361_rx_pnmon.v @@ -2,21 +2,23 @@ // *************************************************************************** // Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved. // -// Each core or library found in this collection may have its own licensing terms. -// The user should keep this in in mind while exploring these cores. +// This core is distributed in the hope that it will be useful, but WITHOUT ANY +// WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR +// A PARTICULAR PURPOSE. // -// Redistribution and use in source and binary forms, -// with or without modification of this file, are permitted under the terms of either -// (at the option of the user): +// Redistribution and use of source or resulting binaries, with or without modification +// of this file, are permitted under one of the following two license terms: // // 1. The GNU General Public License version 2 as published by the -// Free Software Foundation, which can be found in the top level directory, or at: -// https://www.gnu.org/licenses/old-licenses/gpl-2.0.en.html +// Free Software Foundation, which can be found in the top level directory of +// the repository (LICENSE_GPL2), and at: // // OR // -// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: -// https://github.com/analogdevicesinc/hdl/blob/dev/LICENSE +// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: +// https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD +// This will allow to generate bit files and not release the source code, +// as long as it attaches to an ADI device. // // *************************************************************************** // *************************************************************************** diff --git a/library/axi_ad9361/axi_ad9361_tdd.v b/library/axi_ad9361/axi_ad9361_tdd.v index f06aeed82..5e271a800 100644 --- a/library/axi_ad9361/axi_ad9361_tdd.v +++ b/library/axi_ad9361/axi_ad9361_tdd.v @@ -2,21 +2,23 @@ // *************************************************************************** // Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved. // -// Each core or library found in this collection may have its own licensing terms. -// The user should keep this in in mind while exploring these cores. +// This core is distributed in the hope that it will be useful, but WITHOUT ANY +// WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR +// A PARTICULAR PURPOSE. // -// Redistribution and use in source and binary forms, -// with or without modification of this file, are permitted under the terms of either -// (at the option of the user): +// Redistribution and use of source or resulting binaries, with or without modification +// of this file, are permitted under one of the following two license terms: // // 1. The GNU General Public License version 2 as published by the -// Free Software Foundation, which can be found in the top level directory, or at: -// https://www.gnu.org/licenses/old-licenses/gpl-2.0.en.html +// Free Software Foundation, which can be found in the top level directory of +// the repository (LICENSE_GPL2), and at: // // OR // -// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: -// https://github.com/analogdevicesinc/hdl/blob/dev/LICENSE +// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: +// https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD +// This will allow to generate bit files and not release the source code, +// as long as it attaches to an ADI device. // // *************************************************************************** // *************************************************************************** diff --git a/library/axi_ad9361/axi_ad9361_tdd_if.v b/library/axi_ad9361/axi_ad9361_tdd_if.v index 1baf57231..8fde0f513 100644 --- a/library/axi_ad9361/axi_ad9361_tdd_if.v +++ b/library/axi_ad9361/axi_ad9361_tdd_if.v @@ -2,21 +2,23 @@ // *************************************************************************** // Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved. // -// Each core or library found in this collection may have its own licensing terms. -// The user should keep this in in mind while exploring these cores. +// This core is distributed in the hope that it will be useful, but WITHOUT ANY +// WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR +// A PARTICULAR PURPOSE. // -// Redistribution and use in source and binary forms, -// with or without modification of this file, are permitted under the terms of either -// (at the option of the user): +// Redistribution and use of source or resulting binaries, with or without modification +// of this file, are permitted under one of the following two license terms: // // 1. The GNU General Public License version 2 as published by the -// Free Software Foundation, which can be found in the top level directory, or at: -// https://www.gnu.org/licenses/old-licenses/gpl-2.0.en.html +// Free Software Foundation, which can be found in the top level directory of +// the repository (LICENSE_GPL2), and at: // // OR // -// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: -// https://github.com/analogdevicesinc/hdl/blob/dev/LICENSE +// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: +// https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD +// This will allow to generate bit files and not release the source code, +// as long as it attaches to an ADI device. // // *************************************************************************** // *************************************************************************** diff --git a/library/axi_ad9361/axi_ad9361_tx.v b/library/axi_ad9361/axi_ad9361_tx.v index 50b05f88c..97b5a9900 100644 --- a/library/axi_ad9361/axi_ad9361_tx.v +++ b/library/axi_ad9361/axi_ad9361_tx.v @@ -2,21 +2,23 @@ // *************************************************************************** // Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved. // -// Each core or library found in this collection may have its own licensing terms. -// The user should keep this in in mind while exploring these cores. +// This core is distributed in the hope that it will be useful, but WITHOUT ANY +// WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR +// A PARTICULAR PURPOSE. // -// Redistribution and use in source and binary forms, -// with or without modification of this file, are permitted under the terms of either -// (at the option of the user): +// Redistribution and use of source or resulting binaries, with or without modification +// of this file, are permitted under one of the following two license terms: // // 1. The GNU General Public License version 2 as published by the -// Free Software Foundation, which can be found in the top level directory, or at: -// https://www.gnu.org/licenses/old-licenses/gpl-2.0.en.html +// Free Software Foundation, which can be found in the top level directory of +// the repository (LICENSE_GPL2), and at: // // OR // -// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: -// https://github.com/analogdevicesinc/hdl/blob/dev/LICENSE +// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: +// https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD +// This will allow to generate bit files and not release the source code, +// as long as it attaches to an ADI device. // // *************************************************************************** // *************************************************************************** diff --git a/library/axi_ad9361/axi_ad9361_tx_channel.v b/library/axi_ad9361/axi_ad9361_tx_channel.v index f600fbdf2..d328c568d 100644 --- a/library/axi_ad9361/axi_ad9361_tx_channel.v +++ b/library/axi_ad9361/axi_ad9361_tx_channel.v @@ -2,21 +2,23 @@ // *************************************************************************** // Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved. // -// Each core or library found in this collection may have its own licensing terms. -// The user should keep this in in mind while exploring these cores. +// This core is distributed in the hope that it will be useful, but WITHOUT ANY +// WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR +// A PARTICULAR PURPOSE. // -// Redistribution and use in source and binary forms, -// with or without modification of this file, are permitted under the terms of either -// (at the option of the user): +// Redistribution and use of source or resulting binaries, with or without modification +// of this file, are permitted under one of the following two license terms: // // 1. The GNU General Public License version 2 as published by the -// Free Software Foundation, which can be found in the top level directory, or at: -// https://www.gnu.org/licenses/old-licenses/gpl-2.0.en.html +// Free Software Foundation, which can be found in the top level directory of +// the repository (LICENSE_GPL2), and at: // // OR // -// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: -// https://github.com/analogdevicesinc/hdl/blob/dev/LICENSE +// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: +// https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD +// This will allow to generate bit files and not release the source code, +// as long as it attaches to an ADI device. // // *************************************************************************** // *************************************************************************** diff --git a/library/axi_ad9361/xilinx/axi_ad9361_cmos_if.v b/library/axi_ad9361/xilinx/axi_ad9361_cmos_if.v index ff96bedfd..4229fad7d 100644 --- a/library/axi_ad9361/xilinx/axi_ad9361_cmos_if.v +++ b/library/axi_ad9361/xilinx/axi_ad9361_cmos_if.v @@ -2,21 +2,23 @@ // *************************************************************************** // Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved. // -// Each core or library found in this collection may have its own licensing terms. -// The user should keep this in in mind while exploring these cores. +// This core is distributed in the hope that it will be useful, but WITHOUT ANY +// WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR +// A PARTICULAR PURPOSE. // -// Redistribution and use in source and binary forms, -// with or without modification of this file, are permitted under the terms of either -// (at the option of the user): +// Redistribution and use of source or resulting binaries, with or without modification +// of this file, are permitted under one of the following two license terms: // // 1. The GNU General Public License version 2 as published by the -// Free Software Foundation, which can be found in the top level directory, or at: -// https://www.gnu.org/licenses/old-licenses/gpl-2.0.en.html +// Free Software Foundation, which can be found in the top level directory of +// the repository (LICENSE_GPL2), and at: // // OR // -// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: -// https://github.com/analogdevicesinc/hdl/blob/dev/LICENSE +// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: +// https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD +// This will allow to generate bit files and not release the source code, +// as long as it attaches to an ADI device. // // *************************************************************************** // *************************************************************************** diff --git a/library/axi_ad9361/xilinx/axi_ad9361_lvds_if.v b/library/axi_ad9361/xilinx/axi_ad9361_lvds_if.v index d8a117c08..e37950ccf 100644 --- a/library/axi_ad9361/xilinx/axi_ad9361_lvds_if.v +++ b/library/axi_ad9361/xilinx/axi_ad9361_lvds_if.v @@ -2,21 +2,23 @@ // *************************************************************************** // Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved. // -// Each core or library found in this collection may have its own licensing terms. -// The user should keep this in in mind while exploring these cores. +// This core is distributed in the hope that it will be useful, but WITHOUT ANY +// WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR +// A PARTICULAR PURPOSE. // -// Redistribution and use in source and binary forms, -// with or without modification of this file, are permitted under the terms of either -// (at the option of the user): +// Redistribution and use of source or resulting binaries, with or without modification +// of this file, are permitted under one of the following two license terms: // // 1. The GNU General Public License version 2 as published by the -// Free Software Foundation, which can be found in the top level directory, or at: -// https://www.gnu.org/licenses/old-licenses/gpl-2.0.en.html +// Free Software Foundation, which can be found in the top level directory of +// the repository (LICENSE_GPL2), and at: // // OR // -// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: -// https://github.com/analogdevicesinc/hdl/blob/dev/LICENSE +// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: +// https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD +// This will allow to generate bit files and not release the source code, +// as long as it attaches to an ADI device. // // *************************************************************************** // *************************************************************************** diff --git a/library/axi_ad9371/axi_ad9371.v b/library/axi_ad9371/axi_ad9371.v index fc5a82f88..1c479ef20 100644 --- a/library/axi_ad9371/axi_ad9371.v +++ b/library/axi_ad9371/axi_ad9371.v @@ -2,21 +2,23 @@ // *************************************************************************** // Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved. // -// Each core or library found in this collection may have its own licensing terms. -// The user should keep this in in mind while exploring these cores. +// This core is distributed in the hope that it will be useful, but WITHOUT ANY +// WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR +// A PARTICULAR PURPOSE. // -// Redistribution and use in source and binary forms, -// with or without modification of this file, are permitted under the terms of either -// (at the option of the user): +// Redistribution and use of source or resulting binaries, with or without modification +// of this file, are permitted under one of the following two license terms: // // 1. The GNU General Public License version 2 as published by the -// Free Software Foundation, which can be found in the top level directory, or at: -// https://www.gnu.org/licenses/old-licenses/gpl-2.0.en.html +// Free Software Foundation, which can be found in the top level directory of +// the repository (LICENSE_GPL2), and at: // // OR // -// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: -// https://github.com/analogdevicesinc/hdl/blob/dev/LICENSE +// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: +// https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD +// This will allow to generate bit files and not release the source code, +// as long as it attaches to an ADI device. // // *************************************************************************** // *************************************************************************** diff --git a/library/axi_ad9371/axi_ad9371_if.v b/library/axi_ad9371/axi_ad9371_if.v index 28f2f1882..93ba1ac1f 100644 --- a/library/axi_ad9371/axi_ad9371_if.v +++ b/library/axi_ad9371/axi_ad9371_if.v @@ -2,21 +2,23 @@ // *************************************************************************** // Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved. // -// Each core or library found in this collection may have its own licensing terms. -// The user should keep this in in mind while exploring these cores. +// This core is distributed in the hope that it will be useful, but WITHOUT ANY +// WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR +// A PARTICULAR PURPOSE. // -// Redistribution and use in source and binary forms, -// with or without modification of this file, are permitted under the terms of either -// (at the option of the user): +// Redistribution and use of source or resulting binaries, with or without modification +// of this file, are permitted under one of the following two license terms: // // 1. The GNU General Public License version 2 as published by the -// Free Software Foundation, which can be found in the top level directory, or at: -// https://www.gnu.org/licenses/old-licenses/gpl-2.0.en.html +// Free Software Foundation, which can be found in the top level directory of +// the repository (LICENSE_GPL2), and at: // // OR // -// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: -// https://github.com/analogdevicesinc/hdl/blob/dev/LICENSE +// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: +// https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD +// This will allow to generate bit files and not release the source code, +// as long as it attaches to an ADI device. // // *************************************************************************** // *************************************************************************** diff --git a/library/axi_ad9371/axi_ad9371_rx.v b/library/axi_ad9371/axi_ad9371_rx.v index adc6a91b8..9039e5c97 100644 --- a/library/axi_ad9371/axi_ad9371_rx.v +++ b/library/axi_ad9371/axi_ad9371_rx.v @@ -2,21 +2,23 @@ // *************************************************************************** // Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved. // -// Each core or library found in this collection may have its own licensing terms. -// The user should keep this in in mind while exploring these cores. +// This core is distributed in the hope that it will be useful, but WITHOUT ANY +// WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR +// A PARTICULAR PURPOSE. // -// Redistribution and use in source and binary forms, -// with or without modification of this file, are permitted under the terms of either -// (at the option of the user): +// Redistribution and use of source or resulting binaries, with or without modification +// of this file, are permitted under one of the following two license terms: // // 1. The GNU General Public License version 2 as published by the -// Free Software Foundation, which can be found in the top level directory, or at: -// https://www.gnu.org/licenses/old-licenses/gpl-2.0.en.html +// Free Software Foundation, which can be found in the top level directory of +// the repository (LICENSE_GPL2), and at: // // OR // -// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: -// https://github.com/analogdevicesinc/hdl/blob/dev/LICENSE +// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: +// https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD +// This will allow to generate bit files and not release the source code, +// as long as it attaches to an ADI device. // // *************************************************************************** // *************************************************************************** diff --git a/library/axi_ad9371/axi_ad9371_rx_channel.v b/library/axi_ad9371/axi_ad9371_rx_channel.v index a8164d762..1a08b218f 100644 --- a/library/axi_ad9371/axi_ad9371_rx_channel.v +++ b/library/axi_ad9371/axi_ad9371_rx_channel.v @@ -2,21 +2,23 @@ // *************************************************************************** // Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved. // -// Each core or library found in this collection may have its own licensing terms. -// The user should keep this in in mind while exploring these cores. +// This core is distributed in the hope that it will be useful, but WITHOUT ANY +// WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR +// A PARTICULAR PURPOSE. // -// Redistribution and use in source and binary forms, -// with or without modification of this file, are permitted under the terms of either -// (at the option of the user): +// Redistribution and use of source or resulting binaries, with or without modification +// of this file, are permitted under one of the following two license terms: // // 1. The GNU General Public License version 2 as published by the -// Free Software Foundation, which can be found in the top level directory, or at: -// https://www.gnu.org/licenses/old-licenses/gpl-2.0.en.html +// Free Software Foundation, which can be found in the top level directory of +// the repository (LICENSE_GPL2), and at: // // OR // -// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: -// https://github.com/analogdevicesinc/hdl/blob/dev/LICENSE +// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: +// https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD +// This will allow to generate bit files and not release the source code, +// as long as it attaches to an ADI device. // // *************************************************************************** // *************************************************************************** diff --git a/library/axi_ad9371/axi_ad9371_rx_os.v b/library/axi_ad9371/axi_ad9371_rx_os.v index 08bc15d54..7a55c8b32 100644 --- a/library/axi_ad9371/axi_ad9371_rx_os.v +++ b/library/axi_ad9371/axi_ad9371_rx_os.v @@ -2,21 +2,23 @@ // *************************************************************************** // Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved. // -// Each core or library found in this collection may have its own licensing terms. -// The user should keep this in in mind while exploring these cores. +// This core is distributed in the hope that it will be useful, but WITHOUT ANY +// WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR +// A PARTICULAR PURPOSE. // -// Redistribution and use in source and binary forms, -// with or without modification of this file, are permitted under the terms of either -// (at the option of the user): +// Redistribution and use of source or resulting binaries, with or without modification +// of this file, are permitted under one of the following two license terms: // // 1. The GNU General Public License version 2 as published by the -// Free Software Foundation, which can be found in the top level directory, or at: -// https://www.gnu.org/licenses/old-licenses/gpl-2.0.en.html +// Free Software Foundation, which can be found in the top level directory of +// the repository (LICENSE_GPL2), and at: // // OR // -// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: -// https://github.com/analogdevicesinc/hdl/blob/dev/LICENSE +// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: +// https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD +// This will allow to generate bit files and not release the source code, +// as long as it attaches to an ADI device. // // *************************************************************************** // *************************************************************************** diff --git a/library/axi_ad9371/axi_ad9371_tx.v b/library/axi_ad9371/axi_ad9371_tx.v index 25f6d10a6..fc8b1913d 100644 --- a/library/axi_ad9371/axi_ad9371_tx.v +++ b/library/axi_ad9371/axi_ad9371_tx.v @@ -2,21 +2,23 @@ // *************************************************************************** // Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved. // -// Each core or library found in this collection may have its own licensing terms. -// The user should keep this in in mind while exploring these cores. +// This core is distributed in the hope that it will be useful, but WITHOUT ANY +// WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR +// A PARTICULAR PURPOSE. // -// Redistribution and use in source and binary forms, -// with or without modification of this file, are permitted under the terms of either -// (at the option of the user): +// Redistribution and use of source or resulting binaries, with or without modification +// of this file, are permitted under one of the following two license terms: // // 1. The GNU General Public License version 2 as published by the -// Free Software Foundation, which can be found in the top level directory, or at: -// https://www.gnu.org/licenses/old-licenses/gpl-2.0.en.html +// Free Software Foundation, which can be found in the top level directory of +// the repository (LICENSE_GPL2), and at: // // OR // -// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: -// https://github.com/analogdevicesinc/hdl/blob/dev/LICENSE +// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: +// https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD +// This will allow to generate bit files and not release the source code, +// as long as it attaches to an ADI device. // // *************************************************************************** // *************************************************************************** diff --git a/library/axi_ad9371/axi_ad9371_tx_channel.v b/library/axi_ad9371/axi_ad9371_tx_channel.v index f2e26c1ee..cbf6d925f 100644 --- a/library/axi_ad9371/axi_ad9371_tx_channel.v +++ b/library/axi_ad9371/axi_ad9371_tx_channel.v @@ -2,21 +2,23 @@ // *************************************************************************** // Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved. // -// Each core or library found in this collection may have its own licensing terms. -// The user should keep this in in mind while exploring these cores. +// This core is distributed in the hope that it will be useful, but WITHOUT ANY +// WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR +// A PARTICULAR PURPOSE. // -// Redistribution and use in source and binary forms, -// with or without modification of this file, are permitted under the terms of either -// (at the option of the user): +// Redistribution and use of source or resulting binaries, with or without modification +// of this file, are permitted under one of the following two license terms: // // 1. The GNU General Public License version 2 as published by the -// Free Software Foundation, which can be found in the top level directory, or at: -// https://www.gnu.org/licenses/old-licenses/gpl-2.0.en.html +// Free Software Foundation, which can be found in the top level directory of +// the repository (LICENSE_GPL2), and at: // // OR // -// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: -// https://github.com/analogdevicesinc/hdl/blob/dev/LICENSE +// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: +// https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD +// This will allow to generate bit files and not release the source code, +// as long as it attaches to an ADI device. // // *************************************************************************** // *************************************************************************** diff --git a/library/axi_ad9434/axi_ad9434.v b/library/axi_ad9434/axi_ad9434.v index d2be110c9..ac2ea2551 100644 --- a/library/axi_ad9434/axi_ad9434.v +++ b/library/axi_ad9434/axi_ad9434.v @@ -2,21 +2,23 @@ // *************************************************************************** // Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved. // -// Each core or library found in this collection may have its own licensing terms. -// The user should keep this in in mind while exploring these cores. +// This core is distributed in the hope that it will be useful, but WITHOUT ANY +// WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR +// A PARTICULAR PURPOSE. // -// Redistribution and use in source and binary forms, -// with or without modification of this file, are permitted under the terms of either -// (at the option of the user): +// Redistribution and use of source or resulting binaries, with or without modification +// of this file, are permitted under one of the following two license terms: // // 1. The GNU General Public License version 2 as published by the -// Free Software Foundation, which can be found in the top level directory, or at: -// https://www.gnu.org/licenses/old-licenses/gpl-2.0.en.html +// Free Software Foundation, which can be found in the top level directory of +// the repository (LICENSE_GPL2), and at: // // OR // -// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: -// https://github.com/analogdevicesinc/hdl/blob/dev/LICENSE +// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: +// https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD +// This will allow to generate bit files and not release the source code, +// as long as it attaches to an ADI device. // // *************************************************************************** // *************************************************************************** diff --git a/library/axi_ad9434/axi_ad9434_core.v b/library/axi_ad9434/axi_ad9434_core.v index 5bbb1af9a..dbbedcfae 100644 --- a/library/axi_ad9434/axi_ad9434_core.v +++ b/library/axi_ad9434/axi_ad9434_core.v @@ -2,21 +2,23 @@ // *************************************************************************** // Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved. // -// Each core or library found in this collection may have its own licensing terms. -// The user should keep this in in mind while exploring these cores. +// This core is distributed in the hope that it will be useful, but WITHOUT ANY +// WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR +// A PARTICULAR PURPOSE. // -// Redistribution and use in source and binary forms, -// with or without modification of this file, are permitted under the terms of either -// (at the option of the user): +// Redistribution and use of source or resulting binaries, with or without modification +// of this file, are permitted under one of the following two license terms: // // 1. The GNU General Public License version 2 as published by the -// Free Software Foundation, which can be found in the top level directory, or at: -// https://www.gnu.org/licenses/old-licenses/gpl-2.0.en.html +// Free Software Foundation, which can be found in the top level directory of +// the repository (LICENSE_GPL2), and at: // // OR // -// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: -// https://github.com/analogdevicesinc/hdl/blob/dev/LICENSE +// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: +// https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD +// This will allow to generate bit files and not release the source code, +// as long as it attaches to an ADI device. // // *************************************************************************** // *************************************************************************** diff --git a/library/axi_ad9434/axi_ad9434_if.v b/library/axi_ad9434/axi_ad9434_if.v index 39f66a08c..2987d6807 100644 --- a/library/axi_ad9434/axi_ad9434_if.v +++ b/library/axi_ad9434/axi_ad9434_if.v @@ -2,21 +2,23 @@ // *************************************************************************** // Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved. // -// Each core or library found in this collection may have its own licensing terms. -// The user should keep this in in mind while exploring these cores. +// This core is distributed in the hope that it will be useful, but WITHOUT ANY +// WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR +// A PARTICULAR PURPOSE. // -// Redistribution and use in source and binary forms, -// with or without modification of this file, are permitted under the terms of either -// (at the option of the user): +// Redistribution and use of source or resulting binaries, with or without modification +// of this file, are permitted under one of the following two license terms: // // 1. The GNU General Public License version 2 as published by the -// Free Software Foundation, which can be found in the top level directory, or at: -// https://www.gnu.org/licenses/old-licenses/gpl-2.0.en.html +// Free Software Foundation, which can be found in the top level directory of +// the repository (LICENSE_GPL2), and at: // // OR // -// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: -// https://github.com/analogdevicesinc/hdl/blob/dev/LICENSE +// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: +// https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD +// This will allow to generate bit files and not release the source code, +// as long as it attaches to an ADI device. // // *************************************************************************** // *************************************************************************** diff --git a/library/axi_ad9434/axi_ad9434_pnmon.v b/library/axi_ad9434/axi_ad9434_pnmon.v index 8717f3a8b..1e89475c9 100644 --- a/library/axi_ad9434/axi_ad9434_pnmon.v +++ b/library/axi_ad9434/axi_ad9434_pnmon.v @@ -2,21 +2,23 @@ // *************************************************************************** // Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved. // -// Each core or library found in this collection may have its own licensing terms. -// The user should keep this in in mind while exploring these cores. +// This core is distributed in the hope that it will be useful, but WITHOUT ANY +// WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR +// A PARTICULAR PURPOSE. // -// Redistribution and use in source and binary forms, -// with or without modification of this file, are permitted under the terms of either -// (at the option of the user): +// Redistribution and use of source or resulting binaries, with or without modification +// of this file, are permitted under one of the following two license terms: // // 1. The GNU General Public License version 2 as published by the -// Free Software Foundation, which can be found in the top level directory, or at: -// https://www.gnu.org/licenses/old-licenses/gpl-2.0.en.html +// Free Software Foundation, which can be found in the top level directory of +// the repository (LICENSE_GPL2), and at: // // OR // -// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: -// https://github.com/analogdevicesinc/hdl/blob/dev/LICENSE +// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: +// https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD +// This will allow to generate bit files and not release the source code, +// as long as it attaches to an ADI device. // // *************************************************************************** // *************************************************************************** diff --git a/library/axi_ad9467/axi_ad9467.v b/library/axi_ad9467/axi_ad9467.v index 508c09645..49cdf88af 100644 --- a/library/axi_ad9467/axi_ad9467.v +++ b/library/axi_ad9467/axi_ad9467.v @@ -2,21 +2,23 @@ // *************************************************************************** // Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved. // -// Each core or library found in this collection may have its own licensing terms. -// The user should keep this in in mind while exploring these cores. +// This core is distributed in the hope that it will be useful, but WITHOUT ANY +// WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR +// A PARTICULAR PURPOSE. // -// Redistribution and use in source and binary forms, -// with or without modification of this file, are permitted under the terms of either -// (at the option of the user): +// Redistribution and use of source or resulting binaries, with or without modification +// of this file, are permitted under one of the following two license terms: // // 1. The GNU General Public License version 2 as published by the -// Free Software Foundation, which can be found in the top level directory, or at: -// https://www.gnu.org/licenses/old-licenses/gpl-2.0.en.html +// Free Software Foundation, which can be found in the top level directory of +// the repository (LICENSE_GPL2), and at: // // OR // -// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: -// https://github.com/analogdevicesinc/hdl/blob/dev/LICENSE +// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: +// https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD +// This will allow to generate bit files and not release the source code, +// as long as it attaches to an ADI device. // // *************************************************************************** // *************************************************************************** diff --git a/library/axi_ad9467/axi_ad9467_channel.v b/library/axi_ad9467/axi_ad9467_channel.v index 35197a2d0..dd707c3d8 100644 --- a/library/axi_ad9467/axi_ad9467_channel.v +++ b/library/axi_ad9467/axi_ad9467_channel.v @@ -2,21 +2,23 @@ // *************************************************************************** // Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved. // -// Each core or library found in this collection may have its own licensing terms. -// The user should keep this in in mind while exploring these cores. +// This core is distributed in the hope that it will be useful, but WITHOUT ANY +// WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR +// A PARTICULAR PURPOSE. // -// Redistribution and use in source and binary forms, -// with or without modification of this file, are permitted under the terms of either -// (at the option of the user): +// Redistribution and use of source or resulting binaries, with or without modification +// of this file, are permitted under one of the following two license terms: // // 1. The GNU General Public License version 2 as published by the -// Free Software Foundation, which can be found in the top level directory, or at: -// https://www.gnu.org/licenses/old-licenses/gpl-2.0.en.html +// Free Software Foundation, which can be found in the top level directory of +// the repository (LICENSE_GPL2), and at: // // OR // -// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: -// https://github.com/analogdevicesinc/hdl/blob/dev/LICENSE +// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: +// https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD +// This will allow to generate bit files and not release the source code, +// as long as it attaches to an ADI device. // // *************************************************************************** // *************************************************************************** diff --git a/library/axi_ad9467/axi_ad9467_if.v b/library/axi_ad9467/axi_ad9467_if.v index 35d4fd190..6e90fefd1 100644 --- a/library/axi_ad9467/axi_ad9467_if.v +++ b/library/axi_ad9467/axi_ad9467_if.v @@ -2,21 +2,23 @@ // *************************************************************************** // Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved. // -// Each core or library found in this collection may have its own licensing terms. -// The user should keep this in in mind while exploring these cores. +// This core is distributed in the hope that it will be useful, but WITHOUT ANY +// WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR +// A PARTICULAR PURPOSE. // -// Redistribution and use in source and binary forms, -// with or without modification of this file, are permitted under the terms of either -// (at the option of the user): +// Redistribution and use of source or resulting binaries, with or without modification +// of this file, are permitted under one of the following two license terms: // // 1. The GNU General Public License version 2 as published by the -// Free Software Foundation, which can be found in the top level directory, or at: -// https://www.gnu.org/licenses/old-licenses/gpl-2.0.en.html +// Free Software Foundation, which can be found in the top level directory of +// the repository (LICENSE_GPL2), and at: // // OR // -// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: -// https://github.com/analogdevicesinc/hdl/blob/dev/LICENSE +// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: +// https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD +// This will allow to generate bit files and not release the source code, +// as long as it attaches to an ADI device. // // *************************************************************************** // *************************************************************************** diff --git a/library/axi_ad9467/axi_ad9467_pnmon.v b/library/axi_ad9467/axi_ad9467_pnmon.v index 17b4fc496..691196f73 100644 --- a/library/axi_ad9467/axi_ad9467_pnmon.v +++ b/library/axi_ad9467/axi_ad9467_pnmon.v @@ -2,21 +2,23 @@ // *************************************************************************** // Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved. // -// Each core or library found in this collection may have its own licensing terms. -// The user should keep this in in mind while exploring these cores. +// This core is distributed in the hope that it will be useful, but WITHOUT ANY +// WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR +// A PARTICULAR PURPOSE. // -// Redistribution and use in source and binary forms, -// with or without modification of this file, are permitted under the terms of either -// (at the option of the user): +// Redistribution and use of source or resulting binaries, with or without modification +// of this file, are permitted under one of the following two license terms: // // 1. The GNU General Public License version 2 as published by the -// Free Software Foundation, which can be found in the top level directory, or at: -// https://www.gnu.org/licenses/old-licenses/gpl-2.0.en.html +// Free Software Foundation, which can be found in the top level directory of +// the repository (LICENSE_GPL2), and at: // // OR // -// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: -// https://github.com/analogdevicesinc/hdl/blob/dev/LICENSE +// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: +// https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD +// This will allow to generate bit files and not release the source code, +// as long as it attaches to an ADI device. // // *************************************************************************** // *************************************************************************** diff --git a/library/axi_ad9625/axi_ad9625.v b/library/axi_ad9625/axi_ad9625.v index 744899c98..e7d20c74e 100644 --- a/library/axi_ad9625/axi_ad9625.v +++ b/library/axi_ad9625/axi_ad9625.v @@ -2,21 +2,23 @@ // *************************************************************************** // Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved. // -// Each core or library found in this collection may have its own licensing terms. -// The user should keep this in in mind while exploring these cores. +// This core is distributed in the hope that it will be useful, but WITHOUT ANY +// WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR +// A PARTICULAR PURPOSE. // -// Redistribution and use in source and binary forms, -// with or without modification of this file, are permitted under the terms of either -// (at the option of the user): +// Redistribution and use of source or resulting binaries, with or without modification +// of this file, are permitted under one of the following two license terms: // // 1. The GNU General Public License version 2 as published by the -// Free Software Foundation, which can be found in the top level directory, or at: -// https://www.gnu.org/licenses/old-licenses/gpl-2.0.en.html +// Free Software Foundation, which can be found in the top level directory of +// the repository (LICENSE_GPL2), and at: // // OR // -// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: -// https://github.com/analogdevicesinc/hdl/blob/dev/LICENSE +// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: +// https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD +// This will allow to generate bit files and not release the source code, +// as long as it attaches to an ADI device. // // *************************************************************************** // *************************************************************************** diff --git a/library/axi_ad9625/axi_ad9625_channel.v b/library/axi_ad9625/axi_ad9625_channel.v index 6de18e8a6..1e1757fec 100644 --- a/library/axi_ad9625/axi_ad9625_channel.v +++ b/library/axi_ad9625/axi_ad9625_channel.v @@ -2,21 +2,23 @@ // *************************************************************************** // Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved. // -// Each core or library found in this collection may have its own licensing terms. -// The user should keep this in in mind while exploring these cores. +// This core is distributed in the hope that it will be useful, but WITHOUT ANY +// WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR +// A PARTICULAR PURPOSE. // -// Redistribution and use in source and binary forms, -// with or without modification of this file, are permitted under the terms of either -// (at the option of the user): +// Redistribution and use of source or resulting binaries, with or without modification +// of this file, are permitted under one of the following two license terms: // // 1. The GNU General Public License version 2 as published by the -// Free Software Foundation, which can be found in the top level directory, or at: -// https://www.gnu.org/licenses/old-licenses/gpl-2.0.en.html +// Free Software Foundation, which can be found in the top level directory of +// the repository (LICENSE_GPL2), and at: // // OR // -// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: -// https://github.com/analogdevicesinc/hdl/blob/dev/LICENSE +// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: +// https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD +// This will allow to generate bit files and not release the source code, +// as long as it attaches to an ADI device. // // *************************************************************************** // *************************************************************************** diff --git a/library/axi_ad9625/axi_ad9625_if.v b/library/axi_ad9625/axi_ad9625_if.v index 9ea63f04f..dca976cab 100644 --- a/library/axi_ad9625/axi_ad9625_if.v +++ b/library/axi_ad9625/axi_ad9625_if.v @@ -2,21 +2,23 @@ // *************************************************************************** // Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved. // -// Each core or library found in this collection may have its own licensing terms. -// The user should keep this in in mind while exploring these cores. +// This core is distributed in the hope that it will be useful, but WITHOUT ANY +// WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR +// A PARTICULAR PURPOSE. // -// Redistribution and use in source and binary forms, -// with or without modification of this file, are permitted under the terms of either -// (at the option of the user): +// Redistribution and use of source or resulting binaries, with or without modification +// of this file, are permitted under one of the following two license terms: // // 1. The GNU General Public License version 2 as published by the -// Free Software Foundation, which can be found in the top level directory, or at: -// https://www.gnu.org/licenses/old-licenses/gpl-2.0.en.html +// Free Software Foundation, which can be found in the top level directory of +// the repository (LICENSE_GPL2), and at: // // OR // -// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: -// https://github.com/analogdevicesinc/hdl/blob/dev/LICENSE +// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: +// https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD +// This will allow to generate bit files and not release the source code, +// as long as it attaches to an ADI device. // // *************************************************************************** // *************************************************************************** diff --git a/library/axi_ad9625/axi_ad9625_pnmon.v b/library/axi_ad9625/axi_ad9625_pnmon.v index 974d3c10e..c2851930a 100644 --- a/library/axi_ad9625/axi_ad9625_pnmon.v +++ b/library/axi_ad9625/axi_ad9625_pnmon.v @@ -2,21 +2,23 @@ // *************************************************************************** // Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved. // -// Each core or library found in this collection may have its own licensing terms. -// The user should keep this in in mind while exploring these cores. +// This core is distributed in the hope that it will be useful, but WITHOUT ANY +// WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR +// A PARTICULAR PURPOSE. // -// Redistribution and use in source and binary forms, -// with or without modification of this file, are permitted under the terms of either -// (at the option of the user): +// Redistribution and use of source or resulting binaries, with or without modification +// of this file, are permitted under one of the following two license terms: // // 1. The GNU General Public License version 2 as published by the -// Free Software Foundation, which can be found in the top level directory, or at: -// https://www.gnu.org/licenses/old-licenses/gpl-2.0.en.html +// Free Software Foundation, which can be found in the top level directory of +// the repository (LICENSE_GPL2), and at: // // OR // -// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: -// https://github.com/analogdevicesinc/hdl/blob/dev/LICENSE +// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: +// https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD +// This will allow to generate bit files and not release the source code, +// as long as it attaches to an ADI device. // // *************************************************************************** // *************************************************************************** diff --git a/library/axi_ad9643/axi_ad9643.v b/library/axi_ad9643/axi_ad9643.v index 477635c8b..ba5733fcc 100644 --- a/library/axi_ad9643/axi_ad9643.v +++ b/library/axi_ad9643/axi_ad9643.v @@ -2,21 +2,23 @@ // *************************************************************************** // Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved. // -// Each core or library found in this collection may have its own licensing terms. -// The user should keep this in in mind while exploring these cores. +// This core is distributed in the hope that it will be useful, but WITHOUT ANY +// WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR +// A PARTICULAR PURPOSE. // -// Redistribution and use in source and binary forms, -// with or without modification of this file, are permitted under the terms of either -// (at the option of the user): +// Redistribution and use of source or resulting binaries, with or without modification +// of this file, are permitted under one of the following two license terms: // // 1. The GNU General Public License version 2 as published by the -// Free Software Foundation, which can be found in the top level directory, or at: -// https://www.gnu.org/licenses/old-licenses/gpl-2.0.en.html +// Free Software Foundation, which can be found in the top level directory of +// the repository (LICENSE_GPL2), and at: // // OR // -// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: -// https://github.com/analogdevicesinc/hdl/blob/dev/LICENSE +// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: +// https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD +// This will allow to generate bit files and not release the source code, +// as long as it attaches to an ADI device. // // *************************************************************************** // *************************************************************************** diff --git a/library/axi_ad9643/axi_ad9643_channel.v b/library/axi_ad9643/axi_ad9643_channel.v index 69f7d5190..a22e04fd1 100644 --- a/library/axi_ad9643/axi_ad9643_channel.v +++ b/library/axi_ad9643/axi_ad9643_channel.v @@ -2,21 +2,23 @@ // *************************************************************************** // Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved. // -// Each core or library found in this collection may have its own licensing terms. -// The user should keep this in in mind while exploring these cores. +// This core is distributed in the hope that it will be useful, but WITHOUT ANY +// WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR +// A PARTICULAR PURPOSE. // -// Redistribution and use in source and binary forms, -// with or without modification of this file, are permitted under the terms of either -// (at the option of the user): +// Redistribution and use of source or resulting binaries, with or without modification +// of this file, are permitted under one of the following two license terms: // // 1. The GNU General Public License version 2 as published by the -// Free Software Foundation, which can be found in the top level directory, or at: -// https://www.gnu.org/licenses/old-licenses/gpl-2.0.en.html +// Free Software Foundation, which can be found in the top level directory of +// the repository (LICENSE_GPL2), and at: // // OR // -// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: -// https://github.com/analogdevicesinc/hdl/blob/dev/LICENSE +// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: +// https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD +// This will allow to generate bit files and not release the source code, +// as long as it attaches to an ADI device. // // *************************************************************************** // *************************************************************************** diff --git a/library/axi_ad9643/axi_ad9643_if.v b/library/axi_ad9643/axi_ad9643_if.v index 283c15783..d0e015179 100644 --- a/library/axi_ad9643/axi_ad9643_if.v +++ b/library/axi_ad9643/axi_ad9643_if.v @@ -2,21 +2,23 @@ // *************************************************************************** // Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved. // -// Each core or library found in this collection may have its own licensing terms. -// The user should keep this in in mind while exploring these cores. +// This core is distributed in the hope that it will be useful, but WITHOUT ANY +// WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR +// A PARTICULAR PURPOSE. // -// Redistribution and use in source and binary forms, -// with or without modification of this file, are permitted under the terms of either -// (at the option of the user): +// Redistribution and use of source or resulting binaries, with or without modification +// of this file, are permitted under one of the following two license terms: // // 1. The GNU General Public License version 2 as published by the -// Free Software Foundation, which can be found in the top level directory, or at: -// https://www.gnu.org/licenses/old-licenses/gpl-2.0.en.html +// Free Software Foundation, which can be found in the top level directory of +// the repository (LICENSE_GPL2), and at: // // OR // -// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: -// https://github.com/analogdevicesinc/hdl/blob/dev/LICENSE +// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: +// https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD +// This will allow to generate bit files and not release the source code, +// as long as it attaches to an ADI device. // // *************************************************************************** // *************************************************************************** diff --git a/library/axi_ad9643/axi_ad9643_pnmon.v b/library/axi_ad9643/axi_ad9643_pnmon.v index 6cd0e42b9..e88b1335e 100644 --- a/library/axi_ad9643/axi_ad9643_pnmon.v +++ b/library/axi_ad9643/axi_ad9643_pnmon.v @@ -2,21 +2,23 @@ // *************************************************************************** // Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved. // -// Each core or library found in this collection may have its own licensing terms. -// The user should keep this in in mind while exploring these cores. +// This core is distributed in the hope that it will be useful, but WITHOUT ANY +// WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR +// A PARTICULAR PURPOSE. // -// Redistribution and use in source and binary forms, -// with or without modification of this file, are permitted under the terms of either -// (at the option of the user): +// Redistribution and use of source or resulting binaries, with or without modification +// of this file, are permitted under one of the following two license terms: // // 1. The GNU General Public License version 2 as published by the -// Free Software Foundation, which can be found in the top level directory, or at: -// https://www.gnu.org/licenses/old-licenses/gpl-2.0.en.html +// Free Software Foundation, which can be found in the top level directory of +// the repository (LICENSE_GPL2), and at: // // OR // -// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: -// https://github.com/analogdevicesinc/hdl/blob/dev/LICENSE +// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: +// https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD +// This will allow to generate bit files and not release the source code, +// as long as it attaches to an ADI device. // // *************************************************************************** // *************************************************************************** diff --git a/library/axi_ad9652/axi_ad9652.v b/library/axi_ad9652/axi_ad9652.v index 1211e7aad..32ef5aa2a 100644 --- a/library/axi_ad9652/axi_ad9652.v +++ b/library/axi_ad9652/axi_ad9652.v @@ -2,21 +2,23 @@ // *************************************************************************** // Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved. // -// Each core or library found in this collection may have its own licensing terms. -// The user should keep this in in mind while exploring these cores. +// This core is distributed in the hope that it will be useful, but WITHOUT ANY +// WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR +// A PARTICULAR PURPOSE. // -// Redistribution and use in source and binary forms, -// with or without modification of this file, are permitted under the terms of either -// (at the option of the user): +// Redistribution and use of source or resulting binaries, with or without modification +// of this file, are permitted under one of the following two license terms: // // 1. The GNU General Public License version 2 as published by the -// Free Software Foundation, which can be found in the top level directory, or at: -// https://www.gnu.org/licenses/old-licenses/gpl-2.0.en.html +// Free Software Foundation, which can be found in the top level directory of +// the repository (LICENSE_GPL2), and at: // // OR // -// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: -// https://github.com/analogdevicesinc/hdl/blob/dev/LICENSE +// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: +// https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD +// This will allow to generate bit files and not release the source code, +// as long as it attaches to an ADI device. // // *************************************************************************** // *************************************************************************** diff --git a/library/axi_ad9652/axi_ad9652_channel.v b/library/axi_ad9652/axi_ad9652_channel.v index eed576fb1..138508a08 100644 --- a/library/axi_ad9652/axi_ad9652_channel.v +++ b/library/axi_ad9652/axi_ad9652_channel.v @@ -2,21 +2,23 @@ // *************************************************************************** // Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved. // -// Each core or library found in this collection may have its own licensing terms. -// The user should keep this in in mind while exploring these cores. +// This core is distributed in the hope that it will be useful, but WITHOUT ANY +// WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR +// A PARTICULAR PURPOSE. // -// Redistribution and use in source and binary forms, -// with or without modification of this file, are permitted under the terms of either -// (at the option of the user): +// Redistribution and use of source or resulting binaries, with or without modification +// of this file, are permitted under one of the following two license terms: // // 1. The GNU General Public License version 2 as published by the -// Free Software Foundation, which can be found in the top level directory, or at: -// https://www.gnu.org/licenses/old-licenses/gpl-2.0.en.html +// Free Software Foundation, which can be found in the top level directory of +// the repository (LICENSE_GPL2), and at: // // OR // -// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: -// https://github.com/analogdevicesinc/hdl/blob/dev/LICENSE +// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: +// https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD +// This will allow to generate bit files and not release the source code, +// as long as it attaches to an ADI device. // // *************************************************************************** // *************************************************************************** diff --git a/library/axi_ad9652/axi_ad9652_if.v b/library/axi_ad9652/axi_ad9652_if.v index 462061b26..84f0a4bd6 100644 --- a/library/axi_ad9652/axi_ad9652_if.v +++ b/library/axi_ad9652/axi_ad9652_if.v @@ -2,21 +2,23 @@ // *************************************************************************** // Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved. // -// Each core or library found in this collection may have its own licensing terms. -// The user should keep this in in mind while exploring these cores. +// This core is distributed in the hope that it will be useful, but WITHOUT ANY +// WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR +// A PARTICULAR PURPOSE. // -// Redistribution and use in source and binary forms, -// with or without modification of this file, are permitted under the terms of either -// (at the option of the user): +// Redistribution and use of source or resulting binaries, with or without modification +// of this file, are permitted under one of the following two license terms: // // 1. The GNU General Public License version 2 as published by the -// Free Software Foundation, which can be found in the top level directory, or at: -// https://www.gnu.org/licenses/old-licenses/gpl-2.0.en.html +// Free Software Foundation, which can be found in the top level directory of +// the repository (LICENSE_GPL2), and at: // // OR // -// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: -// https://github.com/analogdevicesinc/hdl/blob/dev/LICENSE +// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: +// https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD +// This will allow to generate bit files and not release the source code, +// as long as it attaches to an ADI device. // // *************************************************************************** // *************************************************************************** diff --git a/library/axi_ad9652/axi_ad9652_pnmon.v b/library/axi_ad9652/axi_ad9652_pnmon.v index f6e88c3ea..4ef62bbee 100644 --- a/library/axi_ad9652/axi_ad9652_pnmon.v +++ b/library/axi_ad9652/axi_ad9652_pnmon.v @@ -2,21 +2,23 @@ // *************************************************************************** // Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved. // -// Each core or library found in this collection may have its own licensing terms. -// The user should keep this in in mind while exploring these cores. +// This core is distributed in the hope that it will be useful, but WITHOUT ANY +// WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR +// A PARTICULAR PURPOSE. // -// Redistribution and use in source and binary forms, -// with or without modification of this file, are permitted under the terms of either -// (at the option of the user): +// Redistribution and use of source or resulting binaries, with or without modification +// of this file, are permitted under one of the following two license terms: // // 1. The GNU General Public License version 2 as published by the -// Free Software Foundation, which can be found in the top level directory, or at: -// https://www.gnu.org/licenses/old-licenses/gpl-2.0.en.html +// Free Software Foundation, which can be found in the top level directory of +// the repository (LICENSE_GPL2), and at: // // OR // -// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: -// https://github.com/analogdevicesinc/hdl/blob/dev/LICENSE +// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: +// https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD +// This will allow to generate bit files and not release the source code, +// as long as it attaches to an ADI device. // // *************************************************************************** // *************************************************************************** diff --git a/library/axi_ad9671/axi_ad9671.v b/library/axi_ad9671/axi_ad9671.v index 6b16c3769..2aeb81a78 100644 --- a/library/axi_ad9671/axi_ad9671.v +++ b/library/axi_ad9671/axi_ad9671.v @@ -2,21 +2,23 @@ // *************************************************************************** // Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved. // -// Each core or library found in this collection may have its own licensing terms. -// The user should keep this in in mind while exploring these cores. +// This core is distributed in the hope that it will be useful, but WITHOUT ANY +// WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR +// A PARTICULAR PURPOSE. // -// Redistribution and use in source and binary forms, -// with or without modification of this file, are permitted under the terms of either -// (at the option of the user): +// Redistribution and use of source or resulting binaries, with or without modification +// of this file, are permitted under one of the following two license terms: // // 1. The GNU General Public License version 2 as published by the -// Free Software Foundation, which can be found in the top level directory, or at: -// https://www.gnu.org/licenses/old-licenses/gpl-2.0.en.html +// Free Software Foundation, which can be found in the top level directory of +// the repository (LICENSE_GPL2), and at: // // OR // -// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: -// https://github.com/analogdevicesinc/hdl/blob/dev/LICENSE +// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: +// https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD +// This will allow to generate bit files and not release the source code, +// as long as it attaches to an ADI device. // // *************************************************************************** // *************************************************************************** diff --git a/library/axi_ad9671/axi_ad9671_channel.v b/library/axi_ad9671/axi_ad9671_channel.v index 3d164e91a..f2a4cf3b6 100644 --- a/library/axi_ad9671/axi_ad9671_channel.v +++ b/library/axi_ad9671/axi_ad9671_channel.v @@ -2,21 +2,23 @@ // *************************************************************************** // Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved. // -// Each core or library found in this collection may have its own licensing terms. -// The user should keep this in in mind while exploring these cores. +// This core is distributed in the hope that it will be useful, but WITHOUT ANY +// WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR +// A PARTICULAR PURPOSE. // -// Redistribution and use in source and binary forms, -// with or without modification of this file, are permitted under the terms of either -// (at the option of the user): +// Redistribution and use of source or resulting binaries, with or without modification +// of this file, are permitted under one of the following two license terms: // // 1. The GNU General Public License version 2 as published by the -// Free Software Foundation, which can be found in the top level directory, or at: -// https://www.gnu.org/licenses/old-licenses/gpl-2.0.en.html +// Free Software Foundation, which can be found in the top level directory of +// the repository (LICENSE_GPL2), and at: // // OR // -// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: -// https://github.com/analogdevicesinc/hdl/blob/dev/LICENSE +// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: +// https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD +// This will allow to generate bit files and not release the source code, +// as long as it attaches to an ADI device. // // *************************************************************************** // *************************************************************************** diff --git a/library/axi_ad9671/axi_ad9671_if.v b/library/axi_ad9671/axi_ad9671_if.v index ede397518..071999d07 100644 --- a/library/axi_ad9671/axi_ad9671_if.v +++ b/library/axi_ad9671/axi_ad9671_if.v @@ -2,21 +2,23 @@ // *************************************************************************** // Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved. // -// Each core or library found in this collection may have its own licensing terms. -// The user should keep this in in mind while exploring these cores. +// This core is distributed in the hope that it will be useful, but WITHOUT ANY +// WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR +// A PARTICULAR PURPOSE. // -// Redistribution and use in source and binary forms, -// with or without modification of this file, are permitted under the terms of either -// (at the option of the user): +// Redistribution and use of source or resulting binaries, with or without modification +// of this file, are permitted under one of the following two license terms: // // 1. The GNU General Public License version 2 as published by the -// Free Software Foundation, which can be found in the top level directory, or at: -// https://www.gnu.org/licenses/old-licenses/gpl-2.0.en.html +// Free Software Foundation, which can be found in the top level directory of +// the repository (LICENSE_GPL2), and at: // // OR // -// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: -// https://github.com/analogdevicesinc/hdl/blob/dev/LICENSE +// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: +// https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD +// This will allow to generate bit files and not release the source code, +// as long as it attaches to an ADI device. // // *************************************************************************** // *************************************************************************** diff --git a/library/axi_ad9671/axi_ad9671_pnmon.v b/library/axi_ad9671/axi_ad9671_pnmon.v index 80a358772..fea4f051d 100644 --- a/library/axi_ad9671/axi_ad9671_pnmon.v +++ b/library/axi_ad9671/axi_ad9671_pnmon.v @@ -2,21 +2,23 @@ // *************************************************************************** // Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved. // -// Each core or library found in this collection may have its own licensing terms. -// The user should keep this in in mind while exploring these cores. +// This core is distributed in the hope that it will be useful, but WITHOUT ANY +// WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR +// A PARTICULAR PURPOSE. // -// Redistribution and use in source and binary forms, -// with or without modification of this file, are permitted under the terms of either -// (at the option of the user): +// Redistribution and use of source or resulting binaries, with or without modification +// of this file, are permitted under one of the following two license terms: // // 1. The GNU General Public License version 2 as published by the -// Free Software Foundation, which can be found in the top level directory, or at: -// https://www.gnu.org/licenses/old-licenses/gpl-2.0.en.html +// Free Software Foundation, which can be found in the top level directory of +// the repository (LICENSE_GPL2), and at: // // OR // -// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: -// https://github.com/analogdevicesinc/hdl/blob/dev/LICENSE +// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: +// https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD +// This will allow to generate bit files and not release the source code, +// as long as it attaches to an ADI device. // // *************************************************************************** // *************************************************************************** diff --git a/library/axi_ad9680/axi_ad9680.v b/library/axi_ad9680/axi_ad9680.v index f6f8945b3..420ec0963 100644 --- a/library/axi_ad9680/axi_ad9680.v +++ b/library/axi_ad9680/axi_ad9680.v @@ -2,21 +2,23 @@ // *************************************************************************** // Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved. // -// Each core or library found in this collection may have its own licensing terms. -// The user should keep this in in mind while exploring these cores. +// This core is distributed in the hope that it will be useful, but WITHOUT ANY +// WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR +// A PARTICULAR PURPOSE. // -// Redistribution and use in source and binary forms, -// with or without modification of this file, are permitted under the terms of either -// (at the option of the user): +// Redistribution and use of source or resulting binaries, with or without modification +// of this file, are permitted under one of the following two license terms: // // 1. The GNU General Public License version 2 as published by the -// Free Software Foundation, which can be found in the top level directory, or at: -// https://www.gnu.org/licenses/old-licenses/gpl-2.0.en.html +// Free Software Foundation, which can be found in the top level directory of +// the repository (LICENSE_GPL2), and at: // // OR // -// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: -// https://github.com/analogdevicesinc/hdl/blob/dev/LICENSE +// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: +// https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD +// This will allow to generate bit files and not release the source code, +// as long as it attaches to an ADI device. // // *************************************************************************** // *************************************************************************** diff --git a/library/axi_ad9680/axi_ad9680_channel.v b/library/axi_ad9680/axi_ad9680_channel.v index 58619e28e..f84fb9b03 100644 --- a/library/axi_ad9680/axi_ad9680_channel.v +++ b/library/axi_ad9680/axi_ad9680_channel.v @@ -2,21 +2,23 @@ // *************************************************************************** // Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved. // -// Each core or library found in this collection may have its own licensing terms. -// The user should keep this in in mind while exploring these cores. +// This core is distributed in the hope that it will be useful, but WITHOUT ANY +// WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR +// A PARTICULAR PURPOSE. // -// Redistribution and use in source and binary forms, -// with or without modification of this file, are permitted under the terms of either -// (at the option of the user): +// Redistribution and use of source or resulting binaries, with or without modification +// of this file, are permitted under one of the following two license terms: // // 1. The GNU General Public License version 2 as published by the -// Free Software Foundation, which can be found in the top level directory, or at: -// https://www.gnu.org/licenses/old-licenses/gpl-2.0.en.html +// Free Software Foundation, which can be found in the top level directory of +// the repository (LICENSE_GPL2), and at: // // OR // -// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: -// https://github.com/analogdevicesinc/hdl/blob/dev/LICENSE +// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: +// https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD +// This will allow to generate bit files and not release the source code, +// as long as it attaches to an ADI device. // // *************************************************************************** // *************************************************************************** diff --git a/library/axi_ad9680/axi_ad9680_if.v b/library/axi_ad9680/axi_ad9680_if.v index 72105c5e3..836eeea2f 100644 --- a/library/axi_ad9680/axi_ad9680_if.v +++ b/library/axi_ad9680/axi_ad9680_if.v @@ -2,21 +2,23 @@ // *************************************************************************** // Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved. // -// Each core or library found in this collection may have its own licensing terms. -// The user should keep this in in mind while exploring these cores. +// This core is distributed in the hope that it will be useful, but WITHOUT ANY +// WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR +// A PARTICULAR PURPOSE. // -// Redistribution and use in source and binary forms, -// with or without modification of this file, are permitted under the terms of either -// (at the option of the user): +// Redistribution and use of source or resulting binaries, with or without modification +// of this file, are permitted under one of the following two license terms: // // 1. The GNU General Public License version 2 as published by the -// Free Software Foundation, which can be found in the top level directory, or at: -// https://www.gnu.org/licenses/old-licenses/gpl-2.0.en.html +// Free Software Foundation, which can be found in the top level directory of +// the repository (LICENSE_GPL2), and at: // // OR // -// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: -// https://github.com/analogdevicesinc/hdl/blob/dev/LICENSE +// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: +// https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD +// This will allow to generate bit files and not release the source code, +// as long as it attaches to an ADI device. // // *************************************************************************** // *************************************************************************** diff --git a/library/axi_ad9680/axi_ad9680_pnmon.v b/library/axi_ad9680/axi_ad9680_pnmon.v index 8e73b3c10..48966a96a 100644 --- a/library/axi_ad9680/axi_ad9680_pnmon.v +++ b/library/axi_ad9680/axi_ad9680_pnmon.v @@ -2,21 +2,23 @@ // *************************************************************************** // Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved. // -// Each core or library found in this collection may have its own licensing terms. -// The user should keep this in in mind while exploring these cores. +// This core is distributed in the hope that it will be useful, but WITHOUT ANY +// WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR +// A PARTICULAR PURPOSE. // -// Redistribution and use in source and binary forms, -// with or without modification of this file, are permitted under the terms of either -// (at the option of the user): +// Redistribution and use of source or resulting binaries, with or without modification +// of this file, are permitted under one of the following two license terms: // // 1. The GNU General Public License version 2 as published by the -// Free Software Foundation, which can be found in the top level directory, or at: -// https://www.gnu.org/licenses/old-licenses/gpl-2.0.en.html +// Free Software Foundation, which can be found in the top level directory of +// the repository (LICENSE_GPL2), and at: // // OR // -// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: -// https://github.com/analogdevicesinc/hdl/blob/dev/LICENSE +// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: +// https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD +// This will allow to generate bit files and not release the source code, +// as long as it attaches to an ADI device. // // *************************************************************************** // *************************************************************************** diff --git a/library/axi_ad9684/axi_ad9684.v b/library/axi_ad9684/axi_ad9684.v index 6da491d78..176d0b1af 100644 --- a/library/axi_ad9684/axi_ad9684.v +++ b/library/axi_ad9684/axi_ad9684.v @@ -2,21 +2,23 @@ // *************************************************************************** // Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved. // -// Each core or library found in this collection may have its own licensing terms. -// The user should keep this in in mind while exploring these cores. +// This core is distributed in the hope that it will be useful, but WITHOUT ANY +// WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR +// A PARTICULAR PURPOSE. // -// Redistribution and use in source and binary forms, -// with or without modification of this file, are permitted under the terms of either -// (at the option of the user): +// Redistribution and use of source or resulting binaries, with or without modification +// of this file, are permitted under one of the following two license terms: // // 1. The GNU General Public License version 2 as published by the -// Free Software Foundation, which can be found in the top level directory, or at: -// https://www.gnu.org/licenses/old-licenses/gpl-2.0.en.html +// Free Software Foundation, which can be found in the top level directory of +// the repository (LICENSE_GPL2), and at: // // OR // -// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: -// https://github.com/analogdevicesinc/hdl/blob/dev/LICENSE +// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: +// https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD +// This will allow to generate bit files and not release the source code, +// as long as it attaches to an ADI device. // // *************************************************************************** // *************************************************************************** diff --git a/library/axi_ad9684/axi_ad9684_channel.v b/library/axi_ad9684/axi_ad9684_channel.v index d0946a06b..e0424d8cc 100644 --- a/library/axi_ad9684/axi_ad9684_channel.v +++ b/library/axi_ad9684/axi_ad9684_channel.v @@ -2,21 +2,23 @@ // *************************************************************************** // Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved. // -// Each core or library found in this collection may have its own licensing terms. -// The user should keep this in in mind while exploring these cores. +// This core is distributed in the hope that it will be useful, but WITHOUT ANY +// WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR +// A PARTICULAR PURPOSE. // -// Redistribution and use in source and binary forms, -// with or without modification of this file, are permitted under the terms of either -// (at the option of the user): +// Redistribution and use of source or resulting binaries, with or without modification +// of this file, are permitted under one of the following two license terms: // // 1. The GNU General Public License version 2 as published by the -// Free Software Foundation, which can be found in the top level directory, or at: -// https://www.gnu.org/licenses/old-licenses/gpl-2.0.en.html +// Free Software Foundation, which can be found in the top level directory of +// the repository (LICENSE_GPL2), and at: // // OR // -// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: -// https://github.com/analogdevicesinc/hdl/blob/dev/LICENSE +// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: +// https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD +// This will allow to generate bit files and not release the source code, +// as long as it attaches to an ADI device. // // *************************************************************************** // *************************************************************************** diff --git a/library/axi_ad9684/axi_ad9684_if.v b/library/axi_ad9684/axi_ad9684_if.v index 9f7f8befc..3b5d690d2 100644 --- a/library/axi_ad9684/axi_ad9684_if.v +++ b/library/axi_ad9684/axi_ad9684_if.v @@ -2,21 +2,23 @@ // *************************************************************************** // Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved. // -// Each core or library found in this collection may have its own licensing terms. -// The user should keep this in in mind while exploring these cores. +// This core is distributed in the hope that it will be useful, but WITHOUT ANY +// WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR +// A PARTICULAR PURPOSE. // -// Redistribution and use in source and binary forms, -// with or without modification of this file, are permitted under the terms of either -// (at the option of the user): +// Redistribution and use of source or resulting binaries, with or without modification +// of this file, are permitted under one of the following two license terms: // // 1. The GNU General Public License version 2 as published by the -// Free Software Foundation, which can be found in the top level directory, or at: -// https://www.gnu.org/licenses/old-licenses/gpl-2.0.en.html +// Free Software Foundation, which can be found in the top level directory of +// the repository (LICENSE_GPL2), and at: // // OR // -// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: -// https://github.com/analogdevicesinc/hdl/blob/dev/LICENSE +// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: +// https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD +// This will allow to generate bit files and not release the source code, +// as long as it attaches to an ADI device. // // *************************************************************************** // *************************************************************************** diff --git a/library/axi_ad9684/axi_ad9684_pnmon.v b/library/axi_ad9684/axi_ad9684_pnmon.v index f4a6d725a..e5f43e7b9 100644 --- a/library/axi_ad9684/axi_ad9684_pnmon.v +++ b/library/axi_ad9684/axi_ad9684_pnmon.v @@ -2,21 +2,23 @@ // *************************************************************************** // Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved. // -// Each core or library found in this collection may have its own licensing terms. -// The user should keep this in in mind while exploring these cores. +// This core is distributed in the hope that it will be useful, but WITHOUT ANY +// WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR +// A PARTICULAR PURPOSE. // -// Redistribution and use in source and binary forms, -// with or without modification of this file, are permitted under the terms of either -// (at the option of the user): +// Redistribution and use of source or resulting binaries, with or without modification +// of this file, are permitted under one of the following two license terms: // // 1. The GNU General Public License version 2 as published by the -// Free Software Foundation, which can be found in the top level directory, or at: -// https://www.gnu.org/licenses/old-licenses/gpl-2.0.en.html +// Free Software Foundation, which can be found in the top level directory of +// the repository (LICENSE_GPL2), and at: // // OR // -// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: -// https://github.com/analogdevicesinc/hdl/blob/dev/LICENSE +// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: +// https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD +// This will allow to generate bit files and not release the source code, +// as long as it attaches to an ADI device. // // *************************************************************************** // *************************************************************************** diff --git a/library/axi_ad9739a/axi_ad9739a.v b/library/axi_ad9739a/axi_ad9739a.v index 9af55c20b..6f14b8ec6 100644 --- a/library/axi_ad9739a/axi_ad9739a.v +++ b/library/axi_ad9739a/axi_ad9739a.v @@ -2,21 +2,23 @@ // *************************************************************************** // Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved. // -// Each core or library found in this collection may have its own licensing terms. -// The user should keep this in in mind while exploring these cores. +// This core is distributed in the hope that it will be useful, but WITHOUT ANY +// WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR +// A PARTICULAR PURPOSE. // -// Redistribution and use in source and binary forms, -// with or without modification of this file, are permitted under the terms of either -// (at the option of the user): +// Redistribution and use of source or resulting binaries, with or without modification +// of this file, are permitted under one of the following two license terms: // // 1. The GNU General Public License version 2 as published by the -// Free Software Foundation, which can be found in the top level directory, or at: -// https://www.gnu.org/licenses/old-licenses/gpl-2.0.en.html +// Free Software Foundation, which can be found in the top level directory of +// the repository (LICENSE_GPL2), and at: // // OR // -// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: -// https://github.com/analogdevicesinc/hdl/blob/dev/LICENSE +// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: +// https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD +// This will allow to generate bit files and not release the source code, +// as long as it attaches to an ADI device. // // *************************************************************************** // *************************************************************************** diff --git a/library/axi_ad9739a/axi_ad9739a_channel.v b/library/axi_ad9739a/axi_ad9739a_channel.v index 82ae4afe1..995d6f607 100644 --- a/library/axi_ad9739a/axi_ad9739a_channel.v +++ b/library/axi_ad9739a/axi_ad9739a_channel.v @@ -2,21 +2,23 @@ // *************************************************************************** // Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved. // -// Each core or library found in this collection may have its own licensing terms. -// The user should keep this in in mind while exploring these cores. +// This core is distributed in the hope that it will be useful, but WITHOUT ANY +// WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR +// A PARTICULAR PURPOSE. // -// Redistribution and use in source and binary forms, -// with or without modification of this file, are permitted under the terms of either -// (at the option of the user): +// Redistribution and use of source or resulting binaries, with or without modification +// of this file, are permitted under one of the following two license terms: // // 1. The GNU General Public License version 2 as published by the -// Free Software Foundation, which can be found in the top level directory, or at: -// https://www.gnu.org/licenses/old-licenses/gpl-2.0.en.html +// Free Software Foundation, which can be found in the top level directory of +// the repository (LICENSE_GPL2), and at: // // OR // -// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: -// https://github.com/analogdevicesinc/hdl/blob/dev/LICENSE +// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: +// https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD +// This will allow to generate bit files and not release the source code, +// as long as it attaches to an ADI device. // // *************************************************************************** // *************************************************************************** diff --git a/library/axi_ad9739a/axi_ad9739a_core.v b/library/axi_ad9739a/axi_ad9739a_core.v index 8628a0d1b..e5b944bc4 100644 --- a/library/axi_ad9739a/axi_ad9739a_core.v +++ b/library/axi_ad9739a/axi_ad9739a_core.v @@ -2,21 +2,23 @@ // *************************************************************************** // Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved. // -// Each core or library found in this collection may have its own licensing terms. -// The user should keep this in in mind while exploring these cores. +// This core is distributed in the hope that it will be useful, but WITHOUT ANY +// WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR +// A PARTICULAR PURPOSE. // -// Redistribution and use in source and binary forms, -// with or without modification of this file, are permitted under the terms of either -// (at the option of the user): +// Redistribution and use of source or resulting binaries, with or without modification +// of this file, are permitted under one of the following two license terms: // // 1. The GNU General Public License version 2 as published by the -// Free Software Foundation, which can be found in the top level directory, or at: -// https://www.gnu.org/licenses/old-licenses/gpl-2.0.en.html +// Free Software Foundation, which can be found in the top level directory of +// the repository (LICENSE_GPL2), and at: // // OR // -// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: -// https://github.com/analogdevicesinc/hdl/blob/dev/LICENSE +// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: +// https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD +// This will allow to generate bit files and not release the source code, +// as long as it attaches to an ADI device. // // *************************************************************************** // *************************************************************************** diff --git a/library/axi_ad9739a/axi_ad9739a_if.v b/library/axi_ad9739a/axi_ad9739a_if.v index 5344bb2f8..b51a03c23 100644 --- a/library/axi_ad9739a/axi_ad9739a_if.v +++ b/library/axi_ad9739a/axi_ad9739a_if.v @@ -2,21 +2,23 @@ // *************************************************************************** // Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved. // -// Each core or library found in this collection may have its own licensing terms. -// The user should keep this in in mind while exploring these cores. +// This core is distributed in the hope that it will be useful, but WITHOUT ANY +// WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR +// A PARTICULAR PURPOSE. // -// Redistribution and use in source and binary forms, -// with or without modification of this file, are permitted under the terms of either -// (at the option of the user): +// Redistribution and use of source or resulting binaries, with or without modification +// of this file, are permitted under one of the following two license terms: // // 1. The GNU General Public License version 2 as published by the -// Free Software Foundation, which can be found in the top level directory, or at: -// https://www.gnu.org/licenses/old-licenses/gpl-2.0.en.html +// Free Software Foundation, which can be found in the top level directory of +// the repository (LICENSE_GPL2), and at: // // OR // -// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: -// https://github.com/analogdevicesinc/hdl/blob/dev/LICENSE +// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: +// https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD +// This will allow to generate bit files and not release the source code, +// as long as it attaches to an ADI device. // // *************************************************************************** // *************************************************************************** diff --git a/library/axi_ad9963/axi_ad9963.v b/library/axi_ad9963/axi_ad9963.v index 582b886f2..effa4c2c4 100644 --- a/library/axi_ad9963/axi_ad9963.v +++ b/library/axi_ad9963/axi_ad9963.v @@ -2,21 +2,23 @@ // *************************************************************************** // Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved. // -// Each core or library found in this collection may have its own licensing terms. -// The user should keep this in in mind while exploring these cores. +// This core is distributed in the hope that it will be useful, but WITHOUT ANY +// WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR +// A PARTICULAR PURPOSE. // -// Redistribution and use in source and binary forms, -// with or without modification of this file, are permitted under the terms of either -// (at the option of the user): +// Redistribution and use of source or resulting binaries, with or without modification +// of this file, are permitted under one of the following two license terms: // // 1. The GNU General Public License version 2 as published by the -// Free Software Foundation, which can be found in the top level directory, or at: -// https://www.gnu.org/licenses/old-licenses/gpl-2.0.en.html +// Free Software Foundation, which can be found in the top level directory of +// the repository (LICENSE_GPL2), and at: // // OR // -// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: -// https://github.com/analogdevicesinc/hdl/blob/dev/LICENSE +// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: +// https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD +// This will allow to generate bit files and not release the source code, +// as long as it attaches to an ADI device. // // *************************************************************************** // *************************************************************************** diff --git a/library/axi_ad9963/axi_ad9963_if.v b/library/axi_ad9963/axi_ad9963_if.v index d0d08d252..a2aea7f27 100644 --- a/library/axi_ad9963/axi_ad9963_if.v +++ b/library/axi_ad9963/axi_ad9963_if.v @@ -2,21 +2,23 @@ // *************************************************************************** // Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved. // -// Each core or library found in this collection may have its own licensing terms. -// The user should keep this in in mind while exploring these cores. +// This core is distributed in the hope that it will be useful, but WITHOUT ANY +// WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR +// A PARTICULAR PURPOSE. // -// Redistribution and use in source and binary forms, -// with or without modification of this file, are permitted under the terms of either -// (at the option of the user): +// Redistribution and use of source or resulting binaries, with or without modification +// of this file, are permitted under one of the following two license terms: // // 1. The GNU General Public License version 2 as published by the -// Free Software Foundation, which can be found in the top level directory, or at: -// https://www.gnu.org/licenses/old-licenses/gpl-2.0.en.html +// Free Software Foundation, which can be found in the top level directory of +// the repository (LICENSE_GPL2), and at: // // OR // -// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: -// https://github.com/analogdevicesinc/hdl/blob/dev/LICENSE +// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: +// https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD +// This will allow to generate bit files and not release the source code, +// as long as it attaches to an ADI device. // // *************************************************************************** // *************************************************************************** diff --git a/library/axi_ad9963/axi_ad9963_rx.v b/library/axi_ad9963/axi_ad9963_rx.v index 322dbc605..f50bef763 100644 --- a/library/axi_ad9963/axi_ad9963_rx.v +++ b/library/axi_ad9963/axi_ad9963_rx.v @@ -2,21 +2,23 @@ // *************************************************************************** // Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved. // -// Each core or library found in this collection may have its own licensing terms. -// The user should keep this in in mind while exploring these cores. +// This core is distributed in the hope that it will be useful, but WITHOUT ANY +// WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR +// A PARTICULAR PURPOSE. // -// Redistribution and use in source and binary forms, -// with or without modification of this file, are permitted under the terms of either -// (at the option of the user): +// Redistribution and use of source or resulting binaries, with or without modification +// of this file, are permitted under one of the following two license terms: // // 1. The GNU General Public License version 2 as published by the -// Free Software Foundation, which can be found in the top level directory, or at: -// https://www.gnu.org/licenses/old-licenses/gpl-2.0.en.html +// Free Software Foundation, which can be found in the top level directory of +// the repository (LICENSE_GPL2), and at: // // OR // -// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: -// https://github.com/analogdevicesinc/hdl/blob/dev/LICENSE +// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: +// https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD +// This will allow to generate bit files and not release the source code, +// as long as it attaches to an ADI device. // // *************************************************************************** // *************************************************************************** diff --git a/library/axi_ad9963/axi_ad9963_rx_channel.v b/library/axi_ad9963/axi_ad9963_rx_channel.v index 98036fd03..a33626526 100644 --- a/library/axi_ad9963/axi_ad9963_rx_channel.v +++ b/library/axi_ad9963/axi_ad9963_rx_channel.v @@ -2,21 +2,23 @@ // *************************************************************************** // Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved. // -// Each core or library found in this collection may have its own licensing terms. -// The user should keep this in in mind while exploring these cores. +// This core is distributed in the hope that it will be useful, but WITHOUT ANY +// WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR +// A PARTICULAR PURPOSE. // -// Redistribution and use in source and binary forms, -// with or without modification of this file, are permitted under the terms of either -// (at the option of the user): +// Redistribution and use of source or resulting binaries, with or without modification +// of this file, are permitted under one of the following two license terms: // // 1. The GNU General Public License version 2 as published by the -// Free Software Foundation, which can be found in the top level directory, or at: -// https://www.gnu.org/licenses/old-licenses/gpl-2.0.en.html +// Free Software Foundation, which can be found in the top level directory of +// the repository (LICENSE_GPL2), and at: // // OR // -// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: -// https://github.com/analogdevicesinc/hdl/blob/dev/LICENSE +// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: +// https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD +// This will allow to generate bit files and not release the source code, +// as long as it attaches to an ADI device. // // *************************************************************************** // *************************************************************************** diff --git a/library/axi_ad9963/axi_ad9963_rx_pnmon.v b/library/axi_ad9963/axi_ad9963_rx_pnmon.v index 41d64b606..4e9dfc528 100644 --- a/library/axi_ad9963/axi_ad9963_rx_pnmon.v +++ b/library/axi_ad9963/axi_ad9963_rx_pnmon.v @@ -2,21 +2,23 @@ // *************************************************************************** // Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved. // -// Each core or library found in this collection may have its own licensing terms. -// The user should keep this in in mind while exploring these cores. +// This core is distributed in the hope that it will be useful, but WITHOUT ANY +// WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR +// A PARTICULAR PURPOSE. // -// Redistribution and use in source and binary forms, -// with or without modification of this file, are permitted under the terms of either -// (at the option of the user): +// Redistribution and use of source or resulting binaries, with or without modification +// of this file, are permitted under one of the following two license terms: // // 1. The GNU General Public License version 2 as published by the -// Free Software Foundation, which can be found in the top level directory, or at: -// https://www.gnu.org/licenses/old-licenses/gpl-2.0.en.html +// Free Software Foundation, which can be found in the top level directory of +// the repository (LICENSE_GPL2), and at: // // OR // -// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: -// https://github.com/analogdevicesinc/hdl/blob/dev/LICENSE +// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: +// https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD +// This will allow to generate bit files and not release the source code, +// as long as it attaches to an ADI device. // // *************************************************************************** // *************************************************************************** diff --git a/library/axi_ad9963/axi_ad9963_tx.v b/library/axi_ad9963/axi_ad9963_tx.v index fd429ef1f..ded6f67e5 100644 --- a/library/axi_ad9963/axi_ad9963_tx.v +++ b/library/axi_ad9963/axi_ad9963_tx.v @@ -2,21 +2,23 @@ // *************************************************************************** // Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved. // -// Each core or library found in this collection may have its own licensing terms. -// The user should keep this in in mind while exploring these cores. +// This core is distributed in the hope that it will be useful, but WITHOUT ANY +// WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR +// A PARTICULAR PURPOSE. // -// Redistribution and use in source and binary forms, -// with or without modification of this file, are permitted under the terms of either -// (at the option of the user): +// Redistribution and use of source or resulting binaries, with or without modification +// of this file, are permitted under one of the following two license terms: // // 1. The GNU General Public License version 2 as published by the -// Free Software Foundation, which can be found in the top level directory, or at: -// https://www.gnu.org/licenses/old-licenses/gpl-2.0.en.html +// Free Software Foundation, which can be found in the top level directory of +// the repository (LICENSE_GPL2), and at: // // OR // -// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: -// https://github.com/analogdevicesinc/hdl/blob/dev/LICENSE +// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: +// https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD +// This will allow to generate bit files and not release the source code, +// as long as it attaches to an ADI device. // // *************************************************************************** // *************************************************************************** diff --git a/library/axi_ad9963/axi_ad9963_tx_channel.v b/library/axi_ad9963/axi_ad9963_tx_channel.v index cd18e2190..48daef7a1 100644 --- a/library/axi_ad9963/axi_ad9963_tx_channel.v +++ b/library/axi_ad9963/axi_ad9963_tx_channel.v @@ -2,21 +2,23 @@ // *************************************************************************** // Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved. // -// Each core or library found in this collection may have its own licensing terms. -// The user should keep this in in mind while exploring these cores. +// This core is distributed in the hope that it will be useful, but WITHOUT ANY +// WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR +// A PARTICULAR PURPOSE. // -// Redistribution and use in source and binary forms, -// with or without modification of this file, are permitted under the terms of either -// (at the option of the user): +// Redistribution and use of source or resulting binaries, with or without modification +// of this file, are permitted under one of the following two license terms: // // 1. The GNU General Public License version 2 as published by the -// Free Software Foundation, which can be found in the top level directory, or at: -// https://www.gnu.org/licenses/old-licenses/gpl-2.0.en.html +// Free Software Foundation, which can be found in the top level directory of +// the repository (LICENSE_GPL2), and at: // // OR // -// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: -// https://github.com/analogdevicesinc/hdl/blob/dev/LICENSE +// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: +// https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD +// This will allow to generate bit files and not release the source code, +// as long as it attaches to an ADI device. // // *************************************************************************** // *************************************************************************** diff --git a/library/axi_adc_decimate/axi_adc_decimate.v b/library/axi_adc_decimate/axi_adc_decimate.v index eb95e4de2..cd6f18395 100644 --- a/library/axi_adc_decimate/axi_adc_decimate.v +++ b/library/axi_adc_decimate/axi_adc_decimate.v @@ -2,21 +2,23 @@ // *************************************************************************** // Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved. // -// Each core or library found in this collection may have its own licensing terms. -// The user should keep this in in mind while exploring these cores. +// This core is distributed in the hope that it will be useful, but WITHOUT ANY +// WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR +// A PARTICULAR PURPOSE. // -// Redistribution and use in source and binary forms, -// with or without modification of this file, are permitted under the terms of either -// (at the option of the user): +// Redistribution and use of source or resulting binaries, with or without modification +// of this file, are permitted under one of the following two license terms: // // 1. The GNU General Public License version 2 as published by the -// Free Software Foundation, which can be found in the top level directory, or at: -// https://www.gnu.org/licenses/old-licenses/gpl-2.0.en.html +// Free Software Foundation, which can be found in the top level directory of +// the repository (LICENSE_GPL2), and at: // // OR // -// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: -// https://github.com/analogdevicesinc/hdl/blob/dev/LICENSE +// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: +// https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD +// This will allow to generate bit files and not release the source code, +// as long as it attaches to an ADI device. // // *************************************************************************** // *************************************************************************** diff --git a/library/axi_adc_decimate/axi_adc_decimate_filter.v b/library/axi_adc_decimate/axi_adc_decimate_filter.v index e910bbd27..50a849624 100644 --- a/library/axi_adc_decimate/axi_adc_decimate_filter.v +++ b/library/axi_adc_decimate/axi_adc_decimate_filter.v @@ -2,21 +2,23 @@ // *************************************************************************** // Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved. // -// Each core or library found in this collection may have its own licensing terms. -// The user should keep this in in mind while exploring these cores. +// This core is distributed in the hope that it will be useful, but WITHOUT ANY +// WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR +// A PARTICULAR PURPOSE. // -// Redistribution and use in source and binary forms, -// with or without modification of this file, are permitted under the terms of either -// (at the option of the user): +// Redistribution and use of source or resulting binaries, with or without modification +// of this file, are permitted under one of the following two license terms: // // 1. The GNU General Public License version 2 as published by the -// Free Software Foundation, which can be found in the top level directory, or at: -// https://www.gnu.org/licenses/old-licenses/gpl-2.0.en.html +// Free Software Foundation, which can be found in the top level directory of +// the repository (LICENSE_GPL2), and at: // // OR // -// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: -// https://github.com/analogdevicesinc/hdl/blob/dev/LICENSE +// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: +// https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD +// This will allow to generate bit files and not release the source code, +// as long as it attaches to an ADI device. // // *************************************************************************** // *************************************************************************** diff --git a/library/axi_adc_decimate/axi_adc_decimate_reg.v b/library/axi_adc_decimate/axi_adc_decimate_reg.v index b8117806f..ec4210ec5 100644 --- a/library/axi_adc_decimate/axi_adc_decimate_reg.v +++ b/library/axi_adc_decimate/axi_adc_decimate_reg.v @@ -2,21 +2,23 @@ // *************************************************************************** // Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved. // -// Each core or library found in this collection may have its own licensing terms. -// The user should keep this in in mind while exploring these cores. +// This core is distributed in the hope that it will be useful, but WITHOUT ANY +// WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR +// A PARTICULAR PURPOSE. // -// Redistribution and use in source and binary forms, -// with or without modification of this file, are permitted under the terms of either -// (at the option of the user): +// Redistribution and use of source or resulting binaries, with or without modification +// of this file, are permitted under one of the following two license terms: // // 1. The GNU General Public License version 2 as published by the -// Free Software Foundation, which can be found in the top level directory, or at: -// https://www.gnu.org/licenses/old-licenses/gpl-2.0.en.html +// Free Software Foundation, which can be found in the top level directory of +// the repository (LICENSE_GPL2), and at: // // OR // -// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: -// https://github.com/analogdevicesinc/hdl/blob/dev/LICENSE +// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: +// https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD +// This will allow to generate bit files and not release the source code, +// as long as it attaches to an ADI device. // // *************************************************************************** // *************************************************************************** diff --git a/library/axi_adc_decimate/cic_decim.v b/library/axi_adc_decimate/cic_decim.v index 95bbab213..ad214a216 100644 --- a/library/axi_adc_decimate/cic_decim.v +++ b/library/axi_adc_decimate/cic_decim.v @@ -2,21 +2,23 @@ // *************************************************************************** // Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved. // -// Each core or library found in this collection may have its own licensing terms. -// The user should keep this in in mind while exploring these cores. +// This core is distributed in the hope that it will be useful, but WITHOUT ANY +// WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR +// A PARTICULAR PURPOSE. // -// Redistribution and use in source and binary forms, -// with or without modification of this file, are permitted under the terms of either -// (at the option of the user): +// Redistribution and use of source or resulting binaries, with or without modification +// of this file, are permitted under one of the following two license terms: // // 1. The GNU General Public License version 2 as published by the -// Free Software Foundation, which can be found in the top level directory, or at: -// https://www.gnu.org/licenses/old-licenses/gpl-2.0.en.html +// Free Software Foundation, which can be found in the top level directory of +// the repository (LICENSE_GPL2), and at: // // OR // -// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: -// https://github.com/analogdevicesinc/hdl/blob/dev/LICENSE +// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: +// https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD +// This will allow to generate bit files and not release the source code, +// as long as it attaches to an ADI device. // // *************************************************************************** // *************************************************************************** diff --git a/library/axi_adc_decimate/fir_decim.v b/library/axi_adc_decimate/fir_decim.v index 934c94801..09a7966a9 100644 --- a/library/axi_adc_decimate/fir_decim.v +++ b/library/axi_adc_decimate/fir_decim.v @@ -2,21 +2,23 @@ // *************************************************************************** // Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved. // -// Each core or library found in this collection may have its own licensing terms. -// The user should keep this in in mind while exploring these cores. +// This core is distributed in the hope that it will be useful, but WITHOUT ANY +// WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR +// A PARTICULAR PURPOSE. // -// Redistribution and use in source and binary forms, -// with or without modification of this file, are permitted under the terms of either -// (at the option of the user): +// Redistribution and use of source or resulting binaries, with or without modification +// of this file, are permitted under one of the following two license terms: // // 1. The GNU General Public License version 2 as published by the -// Free Software Foundation, which can be found in the top level directory, or at: -// https://www.gnu.org/licenses/old-licenses/gpl-2.0.en.html +// Free Software Foundation, which can be found in the top level directory of +// the repository (LICENSE_GPL2), and at: // // OR // -// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: -// https://github.com/analogdevicesinc/hdl/blob/dev/LICENSE +// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: +// https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD +// This will allow to generate bit files and not release the source code, +// as long as it attaches to an ADI device. // // *************************************************************************** // *************************************************************************** diff --git a/library/axi_adc_trigger/axi_adc_trigger.v b/library/axi_adc_trigger/axi_adc_trigger.v index 3c416002c..d6d0c8ed2 100644 --- a/library/axi_adc_trigger/axi_adc_trigger.v +++ b/library/axi_adc_trigger/axi_adc_trigger.v @@ -2,21 +2,23 @@ // *************************************************************************** // Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved. // -// Each core or library found in this collection may have its own licensing terms. -// The user should keep this in in mind while exploring these cores. +// This core is distributed in the hope that it will be useful, but WITHOUT ANY +// WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR +// A PARTICULAR PURPOSE. // -// Redistribution and use in source and binary forms, -// with or without modification of this file, are permitted under the terms of either -// (at the option of the user): +// Redistribution and use of source or resulting binaries, with or without modification +// of this file, are permitted under one of the following two license terms: // // 1. The GNU General Public License version 2 as published by the -// Free Software Foundation, which can be found in the top level directory, or at: -// https://www.gnu.org/licenses/old-licenses/gpl-2.0.en.html +// Free Software Foundation, which can be found in the top level directory of +// the repository (LICENSE_GPL2), and at: // // OR // -// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: -// https://github.com/analogdevicesinc/hdl/blob/dev/LICENSE +// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: +// https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD +// This will allow to generate bit files and not release the source code, +// as long as it attaches to an ADI device. // // *************************************************************************** // *************************************************************************** diff --git a/library/axi_adc_trigger/axi_adc_trigger_reg.v b/library/axi_adc_trigger/axi_adc_trigger_reg.v index d6523ac1f..8dec52c13 100644 --- a/library/axi_adc_trigger/axi_adc_trigger_reg.v +++ b/library/axi_adc_trigger/axi_adc_trigger_reg.v @@ -2,21 +2,23 @@ // *************************************************************************** // Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved. // -// Each core or library found in this collection may have its own licensing terms. -// The user should keep this in in mind while exploring these cores. +// This core is distributed in the hope that it will be useful, but WITHOUT ANY +// WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR +// A PARTICULAR PURPOSE. // -// Redistribution and use in source and binary forms, -// with or without modification of this file, are permitted under the terms of either -// (at the option of the user): +// Redistribution and use of source or resulting binaries, with or without modification +// of this file, are permitted under one of the following two license terms: // // 1. The GNU General Public License version 2 as published by the -// Free Software Foundation, which can be found in the top level directory, or at: -// https://www.gnu.org/licenses/old-licenses/gpl-2.0.en.html +// Free Software Foundation, which can be found in the top level directory of +// the repository (LICENSE_GPL2), and at: // // OR // -// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: -// https://github.com/analogdevicesinc/hdl/blob/dev/LICENSE +// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: +// https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD +// This will allow to generate bit files and not release the source code, +// as long as it attaches to an ADI device. // // *************************************************************************** // *************************************************************************** diff --git a/library/axi_clkgen/axi_clkgen.v b/library/axi_clkgen/axi_clkgen.v index 4a1932a8e..5aeff0bd4 100644 --- a/library/axi_clkgen/axi_clkgen.v +++ b/library/axi_clkgen/axi_clkgen.v @@ -2,21 +2,23 @@ // *************************************************************************** // Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved. // -// Each core or library found in this collection may have its own licensing terms. -// The user should keep this in in mind while exploring these cores. +// This core is distributed in the hope that it will be useful, but WITHOUT ANY +// WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR +// A PARTICULAR PURPOSE. // -// Redistribution and use in source and binary forms, -// with or without modification of this file, are permitted under the terms of either -// (at the option of the user): +// Redistribution and use of source or resulting binaries, with or without modification +// of this file, are permitted under one of the following two license terms: // // 1. The GNU General Public License version 2 as published by the -// Free Software Foundation, which can be found in the top level directory, or at: -// https://www.gnu.org/licenses/old-licenses/gpl-2.0.en.html +// Free Software Foundation, which can be found in the top level directory of +// the repository (LICENSE_GPL2), and at: // // OR // -// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: -// https://github.com/analogdevicesinc/hdl/blob/dev/LICENSE +// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: +// https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD +// This will allow to generate bit files and not release the source code, +// as long as it attaches to an ADI device. // // *************************************************************************** // *************************************************************************** diff --git a/library/axi_dac_interpolate/axi_dac_interpolate.v b/library/axi_dac_interpolate/axi_dac_interpolate.v index 70d7d667c..0acaee8d1 100644 --- a/library/axi_dac_interpolate/axi_dac_interpolate.v +++ b/library/axi_dac_interpolate/axi_dac_interpolate.v @@ -2,21 +2,23 @@ // *************************************************************************** // Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved. // -// Each core or library found in this collection may have its own licensing terms. -// The user should keep this in in mind while exploring these cores. +// This core is distributed in the hope that it will be useful, but WITHOUT ANY +// WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR +// A PARTICULAR PURPOSE. // -// Redistribution and use in source and binary forms, -// with or without modification of this file, are permitted under the terms of either -// (at the option of the user): +// Redistribution and use of source or resulting binaries, with or without modification +// of this file, are permitted under one of the following two license terms: // // 1. The GNU General Public License version 2 as published by the -// Free Software Foundation, which can be found in the top level directory, or at: -// https://www.gnu.org/licenses/old-licenses/gpl-2.0.en.html +// Free Software Foundation, which can be found in the top level directory of +// the repository (LICENSE_GPL2), and at: // // OR // -// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: -// https://github.com/analogdevicesinc/hdl/blob/dev/LICENSE +// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: +// https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD +// This will allow to generate bit files and not release the source code, +// as long as it attaches to an ADI device. // // *************************************************************************** // *************************************************************************** diff --git a/library/axi_dac_interpolate/axi_dac_interpolate_filter.v b/library/axi_dac_interpolate/axi_dac_interpolate_filter.v index 178ee3a69..b71054e25 100644 --- a/library/axi_dac_interpolate/axi_dac_interpolate_filter.v +++ b/library/axi_dac_interpolate/axi_dac_interpolate_filter.v @@ -2,21 +2,23 @@ // *************************************************************************** // Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved. // -// Each core or library found in this collection may have its own licensing terms. -// The user should keep this in in mind while exploring these cores. +// This core is distributed in the hope that it will be useful, but WITHOUT ANY +// WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR +// A PARTICULAR PURPOSE. // -// Redistribution and use in source and binary forms, -// with or without modification of this file, are permitted under the terms of either -// (at the option of the user): +// Redistribution and use of source or resulting binaries, with or without modification +// of this file, are permitted under one of the following two license terms: // // 1. The GNU General Public License version 2 as published by the -// Free Software Foundation, which can be found in the top level directory, or at: -// https://www.gnu.org/licenses/old-licenses/gpl-2.0.en.html +// Free Software Foundation, which can be found in the top level directory of +// the repository (LICENSE_GPL2), and at: // // OR // -// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: -// https://github.com/analogdevicesinc/hdl/blob/dev/LICENSE +// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: +// https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD +// This will allow to generate bit files and not release the source code, +// as long as it attaches to an ADI device. // // *************************************************************************** // *************************************************************************** diff --git a/library/axi_dac_interpolate/axi_dac_interpolate_reg.v b/library/axi_dac_interpolate/axi_dac_interpolate_reg.v index d712322bf..4f43597fd 100644 --- a/library/axi_dac_interpolate/axi_dac_interpolate_reg.v +++ b/library/axi_dac_interpolate/axi_dac_interpolate_reg.v @@ -2,21 +2,23 @@ // *************************************************************************** // Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved. // -// Each core or library found in this collection may have its own licensing terms. -// The user should keep this in in mind while exploring these cores. +// This core is distributed in the hope that it will be useful, but WITHOUT ANY +// WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR +// A PARTICULAR PURPOSE. // -// Redistribution and use in source and binary forms, -// with or without modification of this file, are permitted under the terms of either -// (at the option of the user): +// Redistribution and use of source or resulting binaries, with or without modification +// of this file, are permitted under one of the following two license terms: // // 1. The GNU General Public License version 2 as published by the -// Free Software Foundation, which can be found in the top level directory, or at: -// https://www.gnu.org/licenses/old-licenses/gpl-2.0.en.html +// Free Software Foundation, which can be found in the top level directory of +// the repository (LICENSE_GPL2), and at: // // OR // -// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: -// https://github.com/analogdevicesinc/hdl/blob/dev/LICENSE +// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: +// https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD +// This will allow to generate bit files and not release the source code, +// as long as it attaches to an ADI device. // // *************************************************************************** // *************************************************************************** diff --git a/library/axi_dmac/2d_transfer.v b/library/axi_dmac/2d_transfer.v index 10ae33046..50b007d02 100644 --- a/library/axi_dmac/2d_transfer.v +++ b/library/axi_dmac/2d_transfer.v @@ -2,21 +2,23 @@ // *************************************************************************** // Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved. // -// Each core or library found in this collection may have its own licensing terms. -// The user should keep this in in mind while exploring these cores. +// This core is distributed in the hope that it will be useful, but WITHOUT ANY +// WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR +// A PARTICULAR PURPOSE. // -// Redistribution and use in source and binary forms, -// with or without modification of this file, are permitted under the terms of either -// (at the option of the user): +// Redistribution and use of source or resulting binaries, with or without modification +// of this file, are permitted under one of the following two license terms: // // 1. The GNU General Public License version 2 as published by the -// Free Software Foundation, which can be found in the top level directory, or at: -// https://www.gnu.org/licenses/old-licenses/gpl-2.0.en.html +// Free Software Foundation, which can be found in the top level directory of +// the repository (LICENSE_GPL2), and at: // // OR // -// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: -// https://github.com/analogdevicesinc/hdl/blob/dev/LICENSE +// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: +// https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD +// This will allow to generate bit files and not release the source code, +// as long as it attaches to an ADI device. // // *************************************************************************** // *************************************************************************** diff --git a/library/axi_dmac/address_generator.v b/library/axi_dmac/address_generator.v index c693be846..1d97bba74 100644 --- a/library/axi_dmac/address_generator.v +++ b/library/axi_dmac/address_generator.v @@ -2,21 +2,23 @@ // *************************************************************************** // Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved. // -// Each core or library found in this collection may have its own licensing terms. -// The user should keep this in in mind while exploring these cores. +// This core is distributed in the hope that it will be useful, but WITHOUT ANY +// WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR +// A PARTICULAR PURPOSE. // -// Redistribution and use in source and binary forms, -// with or without modification of this file, are permitted under the terms of either -// (at the option of the user): +// Redistribution and use of source or resulting binaries, with or without modification +// of this file, are permitted under one of the following two license terms: // // 1. The GNU General Public License version 2 as published by the -// Free Software Foundation, which can be found in the top level directory, or at: -// https://www.gnu.org/licenses/old-licenses/gpl-2.0.en.html +// Free Software Foundation, which can be found in the top level directory of +// the repository (LICENSE_GPL2), and at: // // OR // -// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: -// https://github.com/analogdevicesinc/hdl/blob/dev/LICENSE +// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: +// https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD +// This will allow to generate bit files and not release the source code, +// as long as it attaches to an ADI device. // // *************************************************************************** // *************************************************************************** diff --git a/library/axi_dmac/axi_dmac.v b/library/axi_dmac/axi_dmac.v index 8da7fd774..9c189a88d 100644 --- a/library/axi_dmac/axi_dmac.v +++ b/library/axi_dmac/axi_dmac.v @@ -2,21 +2,23 @@ // *************************************************************************** // Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved. // -// Each core or library found in this collection may have its own licensing terms. -// The user should keep this in in mind while exploring these cores. +// This core is distributed in the hope that it will be useful, but WITHOUT ANY +// WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR +// A PARTICULAR PURPOSE. // -// Redistribution and use in source and binary forms, -// with or without modification of this file, are permitted under the terms of either -// (at the option of the user): +// Redistribution and use of source or resulting binaries, with or without modification +// of this file, are permitted under one of the following two license terms: // // 1. The GNU General Public License version 2 as published by the -// Free Software Foundation, which can be found in the top level directory, or at: -// https://www.gnu.org/licenses/old-licenses/gpl-2.0.en.html +// Free Software Foundation, which can be found in the top level directory of +// the repository (LICENSE_GPL2), and at: // // OR // -// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: -// https://github.com/analogdevicesinc/hdl/blob/dev/LICENSE +// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: +// https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD +// This will allow to generate bit files and not release the source code, +// as long as it attaches to an ADI device. // // *************************************************************************** // *************************************************************************** diff --git a/library/axi_dmac/axi_register_slice.v b/library/axi_dmac/axi_register_slice.v index 4c9d70bb3..2e926fd3a 100644 --- a/library/axi_dmac/axi_register_slice.v +++ b/library/axi_dmac/axi_register_slice.v @@ -2,21 +2,23 @@ // *************************************************************************** // Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved. // -// Each core or library found in this collection may have its own licensing terms. -// The user should keep this in in mind while exploring these cores. +// This core is distributed in the hope that it will be useful, but WITHOUT ANY +// WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR +// A PARTICULAR PURPOSE. // -// Redistribution and use in source and binary forms, -// with or without modification of this file, are permitted under the terms of either -// (at the option of the user): +// Redistribution and use of source or resulting binaries, with or without modification +// of this file, are permitted under one of the following two license terms: // // 1. The GNU General Public License version 2 as published by the -// Free Software Foundation, which can be found in the top level directory, or at: -// https://www.gnu.org/licenses/old-licenses/gpl-2.0.en.html +// Free Software Foundation, which can be found in the top level directory of +// the repository (LICENSE_GPL2), and at: // // OR // -// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: -// https://github.com/analogdevicesinc/hdl/blob/dev/LICENSE +// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: +// https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD +// This will allow to generate bit files and not release the source code, +// as long as it attaches to an ADI device. // // *************************************************************************** // *************************************************************************** diff --git a/library/axi_dmac/data_mover.v b/library/axi_dmac/data_mover.v index 11b53d7a8..69c12caf7 100644 --- a/library/axi_dmac/data_mover.v +++ b/library/axi_dmac/data_mover.v @@ -2,21 +2,23 @@ // *************************************************************************** // Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved. // -// Each core or library found in this collection may have its own licensing terms. -// The user should keep this in in mind while exploring these cores. +// This core is distributed in the hope that it will be useful, but WITHOUT ANY +// WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR +// A PARTICULAR PURPOSE. // -// Redistribution and use in source and binary forms, -// with or without modification of this file, are permitted under the terms of either -// (at the option of the user): +// Redistribution and use of source or resulting binaries, with or without modification +// of this file, are permitted under one of the following two license terms: // // 1. The GNU General Public License version 2 as published by the -// Free Software Foundation, which can be found in the top level directory, or at: -// https://www.gnu.org/licenses/old-licenses/gpl-2.0.en.html +// Free Software Foundation, which can be found in the top level directory of +// the repository (LICENSE_GPL2), and at: // // OR // -// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: -// https://github.com/analogdevicesinc/hdl/blob/dev/LICENSE +// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: +// https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD +// This will allow to generate bit files and not release the source code, +// as long as it attaches to an ADI device. // // *************************************************************************** // *************************************************************************** diff --git a/library/axi_dmac/dest_axi_mm.v b/library/axi_dmac/dest_axi_mm.v index cad970fb6..9be863d08 100644 --- a/library/axi_dmac/dest_axi_mm.v +++ b/library/axi_dmac/dest_axi_mm.v @@ -2,21 +2,23 @@ // *************************************************************************** // Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved. // -// Each core or library found in this collection may have its own licensing terms. -// The user should keep this in in mind while exploring these cores. +// This core is distributed in the hope that it will be useful, but WITHOUT ANY +// WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR +// A PARTICULAR PURPOSE. // -// Redistribution and use in source and binary forms, -// with or without modification of this file, are permitted under the terms of either -// (at the option of the user): +// Redistribution and use of source or resulting binaries, with or without modification +// of this file, are permitted under one of the following two license terms: // // 1. The GNU General Public License version 2 as published by the -// Free Software Foundation, which can be found in the top level directory, or at: -// https://www.gnu.org/licenses/old-licenses/gpl-2.0.en.html +// Free Software Foundation, which can be found in the top level directory of +// the repository (LICENSE_GPL2), and at: // // OR // -// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: -// https://github.com/analogdevicesinc/hdl/blob/dev/LICENSE +// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: +// https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD +// This will allow to generate bit files and not release the source code, +// as long as it attaches to an ADI device. // // *************************************************************************** // *************************************************************************** diff --git a/library/axi_dmac/dest_axi_stream.v b/library/axi_dmac/dest_axi_stream.v index f5b3907d6..0899e38c2 100644 --- a/library/axi_dmac/dest_axi_stream.v +++ b/library/axi_dmac/dest_axi_stream.v @@ -2,21 +2,23 @@ // *************************************************************************** // Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved. // -// Each core or library found in this collection may have its own licensing terms. -// The user should keep this in in mind while exploring these cores. +// This core is distributed in the hope that it will be useful, but WITHOUT ANY +// WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR +// A PARTICULAR PURPOSE. // -// Redistribution and use in source and binary forms, -// with or without modification of this file, are permitted under the terms of either -// (at the option of the user): +// Redistribution and use of source or resulting binaries, with or without modification +// of this file, are permitted under one of the following two license terms: // // 1. The GNU General Public License version 2 as published by the -// Free Software Foundation, which can be found in the top level directory, or at: -// https://www.gnu.org/licenses/old-licenses/gpl-2.0.en.html +// Free Software Foundation, which can be found in the top level directory of +// the repository (LICENSE_GPL2), and at: // // OR // -// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: -// https://github.com/analogdevicesinc/hdl/blob/dev/LICENSE +// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: +// https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD +// This will allow to generate bit files and not release the source code, +// as long as it attaches to an ADI device. // // *************************************************************************** // *************************************************************************** diff --git a/library/axi_dmac/dest_fifo_inf.v b/library/axi_dmac/dest_fifo_inf.v index 16eb45a04..653b1eecc 100644 --- a/library/axi_dmac/dest_fifo_inf.v +++ b/library/axi_dmac/dest_fifo_inf.v @@ -2,21 +2,23 @@ // *************************************************************************** // Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved. // -// Each core or library found in this collection may have its own licensing terms. -// The user should keep this in in mind while exploring these cores. +// This core is distributed in the hope that it will be useful, but WITHOUT ANY +// WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR +// A PARTICULAR PURPOSE. // -// Redistribution and use in source and binary forms, -// with or without modification of this file, are permitted under the terms of either -// (at the option of the user): +// Redistribution and use of source or resulting binaries, with or without modification +// of this file, are permitted under one of the following two license terms: // // 1. The GNU General Public License version 2 as published by the -// Free Software Foundation, which can be found in the top level directory, or at: -// https://www.gnu.org/licenses/old-licenses/gpl-2.0.en.html +// Free Software Foundation, which can be found in the top level directory of +// the repository (LICENSE_GPL2), and at: // // OR // -// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: -// https://github.com/analogdevicesinc/hdl/blob/dev/LICENSE +// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: +// https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD +// This will allow to generate bit files and not release the source code, +// as long as it attaches to an ADI device. // // *************************************************************************** // *************************************************************************** diff --git a/library/axi_dmac/request_arb.v b/library/axi_dmac/request_arb.v index d9b20ae70..28c46de6a 100644 --- a/library/axi_dmac/request_arb.v +++ b/library/axi_dmac/request_arb.v @@ -2,21 +2,23 @@ // *************************************************************************** // Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved. // -// Each core or library found in this collection may have its own licensing terms. -// The user should keep this in in mind while exploring these cores. +// This core is distributed in the hope that it will be useful, but WITHOUT ANY +// WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR +// A PARTICULAR PURPOSE. // -// Redistribution and use in source and binary forms, -// with or without modification of this file, are permitted under the terms of either -// (at the option of the user): +// Redistribution and use of source or resulting binaries, with or without modification +// of this file, are permitted under one of the following two license terms: // // 1. The GNU General Public License version 2 as published by the -// Free Software Foundation, which can be found in the top level directory, or at: -// https://www.gnu.org/licenses/old-licenses/gpl-2.0.en.html +// Free Software Foundation, which can be found in the top level directory of +// the repository (LICENSE_GPL2), and at: // // OR // -// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: -// https://github.com/analogdevicesinc/hdl/blob/dev/LICENSE +// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: +// https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD +// This will allow to generate bit files and not release the source code, +// as long as it attaches to an ADI device. // // *************************************************************************** // *************************************************************************** diff --git a/library/axi_dmac/request_generator.v b/library/axi_dmac/request_generator.v index 44bc2de16..2435018d3 100644 --- a/library/axi_dmac/request_generator.v +++ b/library/axi_dmac/request_generator.v @@ -2,21 +2,23 @@ // *************************************************************************** // Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved. // -// Each core or library found in this collection may have its own licensing terms. -// The user should keep this in in mind while exploring these cores. +// This core is distributed in the hope that it will be useful, but WITHOUT ANY +// WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR +// A PARTICULAR PURPOSE. // -// Redistribution and use in source and binary forms, -// with or without modification of this file, are permitted under the terms of either -// (at the option of the user): +// Redistribution and use of source or resulting binaries, with or without modification +// of this file, are permitted under one of the following two license terms: // // 1. The GNU General Public License version 2 as published by the -// Free Software Foundation, which can be found in the top level directory, or at: -// https://www.gnu.org/licenses/old-licenses/gpl-2.0.en.html +// Free Software Foundation, which can be found in the top level directory of +// the repository (LICENSE_GPL2), and at: // // OR // -// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: -// https://github.com/analogdevicesinc/hdl/blob/dev/LICENSE +// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: +// https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD +// This will allow to generate bit files and not release the source code, +// as long as it attaches to an ADI device. // // *************************************************************************** // *************************************************************************** diff --git a/library/axi_dmac/response_generator.v b/library/axi_dmac/response_generator.v index 972cd01d2..142522c28 100644 --- a/library/axi_dmac/response_generator.v +++ b/library/axi_dmac/response_generator.v @@ -2,21 +2,23 @@ // *************************************************************************** // Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved. // -// Each core or library found in this collection may have its own licensing terms. -// The user should keep this in in mind while exploring these cores. +// This core is distributed in the hope that it will be useful, but WITHOUT ANY +// WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR +// A PARTICULAR PURPOSE. // -// Redistribution and use in source and binary forms, -// with or without modification of this file, are permitted under the terms of either -// (at the option of the user): +// Redistribution and use of source or resulting binaries, with or without modification +// of this file, are permitted under one of the following two license terms: // // 1. The GNU General Public License version 2 as published by the -// Free Software Foundation, which can be found in the top level directory, or at: -// https://www.gnu.org/licenses/old-licenses/gpl-2.0.en.html +// Free Software Foundation, which can be found in the top level directory of +// the repository (LICENSE_GPL2), and at: // // OR // -// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: -// https://github.com/analogdevicesinc/hdl/blob/dev/LICENSE +// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: +// https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD +// This will allow to generate bit files and not release the source code, +// as long as it attaches to an ADI device. // // *************************************************************************** // *************************************************************************** diff --git a/library/axi_dmac/response_handler.v b/library/axi_dmac/response_handler.v index b1681534c..f6636de34 100644 --- a/library/axi_dmac/response_handler.v +++ b/library/axi_dmac/response_handler.v @@ -2,21 +2,23 @@ // *************************************************************************** // Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved. // -// Each core or library found in this collection may have its own licensing terms. -// The user should keep this in in mind while exploring these cores. +// This core is distributed in the hope that it will be useful, but WITHOUT ANY +// WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR +// A PARTICULAR PURPOSE. // -// Redistribution and use in source and binary forms, -// with or without modification of this file, are permitted under the terms of either -// (at the option of the user): +// Redistribution and use of source or resulting binaries, with or without modification +// of this file, are permitted under one of the following two license terms: // // 1. The GNU General Public License version 2 as published by the -// Free Software Foundation, which can be found in the top level directory, or at: -// https://www.gnu.org/licenses/old-licenses/gpl-2.0.en.html +// Free Software Foundation, which can be found in the top level directory of +// the repository (LICENSE_GPL2), and at: // // OR // -// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: -// https://github.com/analogdevicesinc/hdl/blob/dev/LICENSE +// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: +// https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD +// This will allow to generate bit files and not release the source code, +// as long as it attaches to an ADI device. // // *************************************************************************** // *************************************************************************** diff --git a/library/axi_dmac/splitter.v b/library/axi_dmac/splitter.v index 287c503a7..2fd578627 100644 --- a/library/axi_dmac/splitter.v +++ b/library/axi_dmac/splitter.v @@ -2,21 +2,23 @@ // *************************************************************************** // Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved. // -// Each core or library found in this collection may have its own licensing terms. -// The user should keep this in in mind while exploring these cores. +// This core is distributed in the hope that it will be useful, but WITHOUT ANY +// WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR +// A PARTICULAR PURPOSE. // -// Redistribution and use in source and binary forms, -// with or without modification of this file, are permitted under the terms of either -// (at the option of the user): +// Redistribution and use of source or resulting binaries, with or without modification +// of this file, are permitted under one of the following two license terms: // // 1. The GNU General Public License version 2 as published by the -// Free Software Foundation, which can be found in the top level directory, or at: -// https://www.gnu.org/licenses/old-licenses/gpl-2.0.en.html +// Free Software Foundation, which can be found in the top level directory of +// the repository (LICENSE_GPL2), and at: // // OR // -// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: -// https://github.com/analogdevicesinc/hdl/blob/dev/LICENSE +// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: +// https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD +// This will allow to generate bit files and not release the source code, +// as long as it attaches to an ADI device. // // *************************************************************************** // *************************************************************************** diff --git a/library/axi_dmac/src_axi_mm.v b/library/axi_dmac/src_axi_mm.v index 7a737eb22..ff9668079 100644 --- a/library/axi_dmac/src_axi_mm.v +++ b/library/axi_dmac/src_axi_mm.v @@ -2,21 +2,23 @@ // *************************************************************************** // Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved. // -// Each core or library found in this collection may have its own licensing terms. -// The user should keep this in in mind while exploring these cores. +// This core is distributed in the hope that it will be useful, but WITHOUT ANY +// WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR +// A PARTICULAR PURPOSE. // -// Redistribution and use in source and binary forms, -// with or without modification of this file, are permitted under the terms of either -// (at the option of the user): +// Redistribution and use of source or resulting binaries, with or without modification +// of this file, are permitted under one of the following two license terms: // // 1. The GNU General Public License version 2 as published by the -// Free Software Foundation, which can be found in the top level directory, or at: -// https://www.gnu.org/licenses/old-licenses/gpl-2.0.en.html +// Free Software Foundation, which can be found in the top level directory of +// the repository (LICENSE_GPL2), and at: // // OR // -// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: -// https://github.com/analogdevicesinc/hdl/blob/dev/LICENSE +// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: +// https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD +// This will allow to generate bit files and not release the source code, +// as long as it attaches to an ADI device. // // *************************************************************************** // *************************************************************************** diff --git a/library/axi_dmac/src_axi_stream.v b/library/axi_dmac/src_axi_stream.v index e6deab49b..709215a9b 100644 --- a/library/axi_dmac/src_axi_stream.v +++ b/library/axi_dmac/src_axi_stream.v @@ -2,21 +2,23 @@ // *************************************************************************** // Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved. // -// Each core or library found in this collection may have its own licensing terms. -// The user should keep this in in mind while exploring these cores. +// This core is distributed in the hope that it will be useful, but WITHOUT ANY +// WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR +// A PARTICULAR PURPOSE. // -// Redistribution and use in source and binary forms, -// with or without modification of this file, are permitted under the terms of either -// (at the option of the user): +// Redistribution and use of source or resulting binaries, with or without modification +// of this file, are permitted under one of the following two license terms: // // 1. The GNU General Public License version 2 as published by the -// Free Software Foundation, which can be found in the top level directory, or at: -// https://www.gnu.org/licenses/old-licenses/gpl-2.0.en.html +// Free Software Foundation, which can be found in the top level directory of +// the repository (LICENSE_GPL2), and at: // // OR // -// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: -// https://github.com/analogdevicesinc/hdl/blob/dev/LICENSE +// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: +// https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD +// This will allow to generate bit files and not release the source code, +// as long as it attaches to an ADI device. // // *************************************************************************** // *************************************************************************** diff --git a/library/axi_dmac/src_fifo_inf.v b/library/axi_dmac/src_fifo_inf.v index dcb832de5..b7e461ddf 100644 --- a/library/axi_dmac/src_fifo_inf.v +++ b/library/axi_dmac/src_fifo_inf.v @@ -2,21 +2,23 @@ // *************************************************************************** // Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved. // -// Each core or library found in this collection may have its own licensing terms. -// The user should keep this in in mind while exploring these cores. +// This core is distributed in the hope that it will be useful, but WITHOUT ANY +// WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR +// A PARTICULAR PURPOSE. // -// Redistribution and use in source and binary forms, -// with or without modification of this file, are permitted under the terms of either -// (at the option of the user): +// Redistribution and use of source or resulting binaries, with or without modification +// of this file, are permitted under one of the following two license terms: // // 1. The GNU General Public License version 2 as published by the -// Free Software Foundation, which can be found in the top level directory, or at: -// https://www.gnu.org/licenses/old-licenses/gpl-2.0.en.html +// Free Software Foundation, which can be found in the top level directory of +// the repository (LICENSE_GPL2), and at: // // OR // -// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: -// https://github.com/analogdevicesinc/hdl/blob/dev/LICENSE +// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: +// https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD +// This will allow to generate bit files and not release the source code, +// as long as it attaches to an ADI device. // // *************************************************************************** // *************************************************************************** diff --git a/library/axi_fmcadc5_sync/axi_fmcadc5_sync.v b/library/axi_fmcadc5_sync/axi_fmcadc5_sync.v index 3c134b547..b97e018f4 100644 --- a/library/axi_fmcadc5_sync/axi_fmcadc5_sync.v +++ b/library/axi_fmcadc5_sync/axi_fmcadc5_sync.v @@ -2,21 +2,23 @@ // *************************************************************************** // Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved. // -// Each core or library found in this collection may have its own licensing terms. -// The user should keep this in in mind while exploring these cores. +// This core is distributed in the hope that it will be useful, but WITHOUT ANY +// WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR +// A PARTICULAR PURPOSE. // -// Redistribution and use in source and binary forms, -// with or without modification of this file, are permitted under the terms of either -// (at the option of the user): +// Redistribution and use of source or resulting binaries, with or without modification +// of this file, are permitted under one of the following two license terms: // // 1. The GNU General Public License version 2 as published by the -// Free Software Foundation, which can be found in the top level directory, or at: -// https://www.gnu.org/licenses/old-licenses/gpl-2.0.en.html +// Free Software Foundation, which can be found in the top level directory of +// the repository (LICENSE_GPL2), and at: // // OR // -// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: -// https://github.com/analogdevicesinc/hdl/blob/dev/LICENSE +// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: +// https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD +// This will allow to generate bit files and not release the source code, +// as long as it attaches to an ADI device. // // *************************************************************************** // *************************************************************************** diff --git a/library/axi_fmcadc5_sync/axi_fmcadc5_sync_calcor.v b/library/axi_fmcadc5_sync/axi_fmcadc5_sync_calcor.v index 9dbfe4608..5adafc686 100644 --- a/library/axi_fmcadc5_sync/axi_fmcadc5_sync_calcor.v +++ b/library/axi_fmcadc5_sync/axi_fmcadc5_sync_calcor.v @@ -2,21 +2,23 @@ // *************************************************************************** // Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved. // -// Each core or library found in this collection may have its own licensing terms. -// The user should keep this in in mind while exploring these cores. +// This core is distributed in the hope that it will be useful, but WITHOUT ANY +// WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR +// A PARTICULAR PURPOSE. // -// Redistribution and use in source and binary forms, -// with or without modification of this file, are permitted under the terms of either -// (at the option of the user): +// Redistribution and use of source or resulting binaries, with or without modification +// of this file, are permitted under one of the following two license terms: // // 1. The GNU General Public License version 2 as published by the -// Free Software Foundation, which can be found in the top level directory, or at: -// https://www.gnu.org/licenses/old-licenses/gpl-2.0.en.html +// Free Software Foundation, which can be found in the top level directory of +// the repository (LICENSE_GPL2), and at: // // OR // -// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: -// https://github.com/analogdevicesinc/hdl/blob/dev/LICENSE +// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: +// https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD +// This will allow to generate bit files and not release the source code, +// as long as it attaches to an ADI device. // // *************************************************************************** // *************************************************************************** diff --git a/library/axi_generic_adc/axi_generic_adc.v b/library/axi_generic_adc/axi_generic_adc.v index 5d1029e8a..db588b957 100644 --- a/library/axi_generic_adc/axi_generic_adc.v +++ b/library/axi_generic_adc/axi_generic_adc.v @@ -2,21 +2,23 @@ // *************************************************************************** // Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved. // -// Each core or library found in this collection may have its own licensing terms. -// The user should keep this in in mind while exploring these cores. +// This core is distributed in the hope that it will be useful, but WITHOUT ANY +// WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR +// A PARTICULAR PURPOSE. // -// Redistribution and use in source and binary forms, -// with or without modification of this file, are permitted under the terms of either -// (at the option of the user): +// Redistribution and use of source or resulting binaries, with or without modification +// of this file, are permitted under one of the following two license terms: // // 1. The GNU General Public License version 2 as published by the -// Free Software Foundation, which can be found in the top level directory, or at: -// https://www.gnu.org/licenses/old-licenses/gpl-2.0.en.html +// Free Software Foundation, which can be found in the top level directory of +// the repository (LICENSE_GPL2), and at: // // OR // -// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: -// https://github.com/analogdevicesinc/hdl/blob/dev/LICENSE +// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: +// https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD +// This will allow to generate bit files and not release the source code, +// as long as it attaches to an ADI device. // // *************************************************************************** // *************************************************************************** diff --git a/library/axi_gpreg/axi_gpreg.v b/library/axi_gpreg/axi_gpreg.v index 659e534d7..d36c4ae2d 100644 --- a/library/axi_gpreg/axi_gpreg.v +++ b/library/axi_gpreg/axi_gpreg.v @@ -2,21 +2,23 @@ // *************************************************************************** // Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved. // -// Each core or library found in this collection may have its own licensing terms. -// The user should keep this in in mind while exploring these cores. +// This core is distributed in the hope that it will be useful, but WITHOUT ANY +// WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR +// A PARTICULAR PURPOSE. // -// Redistribution and use in source and binary forms, -// with or without modification of this file, are permitted under the terms of either -// (at the option of the user): +// Redistribution and use of source or resulting binaries, with or without modification +// of this file, are permitted under one of the following two license terms: // // 1. The GNU General Public License version 2 as published by the -// Free Software Foundation, which can be found in the top level directory, or at: -// https://www.gnu.org/licenses/old-licenses/gpl-2.0.en.html +// Free Software Foundation, which can be found in the top level directory of +// the repository (LICENSE_GPL2), and at: // // OR // -// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: -// https://github.com/analogdevicesinc/hdl/blob/dev/LICENSE +// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: +// https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD +// This will allow to generate bit files and not release the source code, +// as long as it attaches to an ADI device. // // *************************************************************************** // *************************************************************************** diff --git a/library/axi_gpreg/axi_gpreg_clock_mon.v b/library/axi_gpreg/axi_gpreg_clock_mon.v index 8f99cd04f..be6272039 100644 --- a/library/axi_gpreg/axi_gpreg_clock_mon.v +++ b/library/axi_gpreg/axi_gpreg_clock_mon.v @@ -2,21 +2,23 @@ // *************************************************************************** // Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved. // -// Each core or library found in this collection may have its own licensing terms. -// The user should keep this in in mind while exploring these cores. +// This core is distributed in the hope that it will be useful, but WITHOUT ANY +// WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR +// A PARTICULAR PURPOSE. // -// Redistribution and use in source and binary forms, -// with or without modification of this file, are permitted under the terms of either -// (at the option of the user): +// Redistribution and use of source or resulting binaries, with or without modification +// of this file, are permitted under one of the following two license terms: // // 1. The GNU General Public License version 2 as published by the -// Free Software Foundation, which can be found in the top level directory, or at: -// https://www.gnu.org/licenses/old-licenses/gpl-2.0.en.html +// Free Software Foundation, which can be found in the top level directory of +// the repository (LICENSE_GPL2), and at: // // OR // -// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: -// https://github.com/analogdevicesinc/hdl/blob/dev/LICENSE +// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: +// https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD +// This will allow to generate bit files and not release the source code, +// as long as it attaches to an ADI device. // // *************************************************************************** // *************************************************************************** diff --git a/library/axi_gpreg/axi_gpreg_io.v b/library/axi_gpreg/axi_gpreg_io.v index 6033e8a5c..2d5da2afd 100644 --- a/library/axi_gpreg/axi_gpreg_io.v +++ b/library/axi_gpreg/axi_gpreg_io.v @@ -2,21 +2,23 @@ // *************************************************************************** // Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved. // -// Each core or library found in this collection may have its own licensing terms. -// The user should keep this in in mind while exploring these cores. +// This core is distributed in the hope that it will be useful, but WITHOUT ANY +// WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR +// A PARTICULAR PURPOSE. // -// Redistribution and use in source and binary forms, -// with or without modification of this file, are permitted under the terms of either -// (at the option of the user): +// Redistribution and use of source or resulting binaries, with or without modification +// of this file, are permitted under one of the following two license terms: // // 1. The GNU General Public License version 2 as published by the -// Free Software Foundation, which can be found in the top level directory, or at: -// https://www.gnu.org/licenses/old-licenses/gpl-2.0.en.html +// Free Software Foundation, which can be found in the top level directory of +// the repository (LICENSE_GPL2), and at: // // OR // -// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: -// https://github.com/analogdevicesinc/hdl/blob/dev/LICENSE +// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: +// https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD +// This will allow to generate bit files and not release the source code, +// as long as it attaches to an ADI device. // // *************************************************************************** // *************************************************************************** diff --git a/library/axi_hdmi_rx/axi_hdmi_rx.v b/library/axi_hdmi_rx/axi_hdmi_rx.v index 62caad01c..7ef96b4f4 100644 --- a/library/axi_hdmi_rx/axi_hdmi_rx.v +++ b/library/axi_hdmi_rx/axi_hdmi_rx.v @@ -2,21 +2,23 @@ // *************************************************************************** // Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved. // -// Each core or library found in this collection may have its own licensing terms. -// The user should keep this in in mind while exploring these cores. +// This core is distributed in the hope that it will be useful, but WITHOUT ANY +// WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR +// A PARTICULAR PURPOSE. // -// Redistribution and use in source and binary forms, -// with or without modification of this file, are permitted under the terms of either -// (at the option of the user): +// Redistribution and use of source or resulting binaries, with or without modification +// of this file, are permitted under one of the following two license terms: // // 1. The GNU General Public License version 2 as published by the -// Free Software Foundation, which can be found in the top level directory, or at: -// https://www.gnu.org/licenses/old-licenses/gpl-2.0.en.html +// Free Software Foundation, which can be found in the top level directory of +// the repository (LICENSE_GPL2), and at: // // OR // -// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: -// https://github.com/analogdevicesinc/hdl/blob/dev/LICENSE +// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: +// https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD +// This will allow to generate bit files and not release the source code, +// as long as it attaches to an ADI device. // // *************************************************************************** // *************************************************************************** diff --git a/library/axi_hdmi_rx/axi_hdmi_rx_core.v b/library/axi_hdmi_rx/axi_hdmi_rx_core.v index 8005af5ed..cb117e23e 100644 --- a/library/axi_hdmi_rx/axi_hdmi_rx_core.v +++ b/library/axi_hdmi_rx/axi_hdmi_rx_core.v @@ -2,21 +2,23 @@ // *************************************************************************** // Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved. // -// Each core or library found in this collection may have its own licensing terms. -// The user should keep this in in mind while exploring these cores. +// This core is distributed in the hope that it will be useful, but WITHOUT ANY +// WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR +// A PARTICULAR PURPOSE. // -// Redistribution and use in source and binary forms, -// with or without modification of this file, are permitted under the terms of either -// (at the option of the user): +// Redistribution and use of source or resulting binaries, with or without modification +// of this file, are permitted under one of the following two license terms: // // 1. The GNU General Public License version 2 as published by the -// Free Software Foundation, which can be found in the top level directory, or at: -// https://www.gnu.org/licenses/old-licenses/gpl-2.0.en.html +// Free Software Foundation, which can be found in the top level directory of +// the repository (LICENSE_GPL2), and at: // // OR // -// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: -// https://github.com/analogdevicesinc/hdl/blob/dev/LICENSE +// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: +// https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD +// This will allow to generate bit files and not release the source code, +// as long as it attaches to an ADI device. // // *************************************************************************** // *************************************************************************** diff --git a/library/axi_hdmi_rx/axi_hdmi_rx_es.v b/library/axi_hdmi_rx/axi_hdmi_rx_es.v index 0c32c6b56..fcc206d05 100644 --- a/library/axi_hdmi_rx/axi_hdmi_rx_es.v +++ b/library/axi_hdmi_rx/axi_hdmi_rx_es.v @@ -2,21 +2,23 @@ // *************************************************************************** // Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved. // -// Each core or library found in this collection may have its own licensing terms. -// The user should keep this in in mind while exploring these cores. +// This core is distributed in the hope that it will be useful, but WITHOUT ANY +// WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR +// A PARTICULAR PURPOSE. // -// Redistribution and use in source and binary forms, -// with or without modification of this file, are permitted under the terms of either -// (at the option of the user): +// Redistribution and use of source or resulting binaries, with or without modification +// of this file, are permitted under one of the following two license terms: // // 1. The GNU General Public License version 2 as published by the -// Free Software Foundation, which can be found in the top level directory, or at: -// https://www.gnu.org/licenses/old-licenses/gpl-2.0.en.html +// Free Software Foundation, which can be found in the top level directory of +// the repository (LICENSE_GPL2), and at: // // OR // -// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: -// https://github.com/analogdevicesinc/hdl/blob/dev/LICENSE +// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: +// https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD +// This will allow to generate bit files and not release the source code, +// as long as it attaches to an ADI device. // // *************************************************************************** // *************************************************************************** diff --git a/library/axi_hdmi_rx/axi_hdmi_rx_tpm.v b/library/axi_hdmi_rx/axi_hdmi_rx_tpm.v index 14ebd186e..c1fead5f4 100644 --- a/library/axi_hdmi_rx/axi_hdmi_rx_tpm.v +++ b/library/axi_hdmi_rx/axi_hdmi_rx_tpm.v @@ -2,21 +2,23 @@ // *************************************************************************** // Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved. // -// Each core or library found in this collection may have its own licensing terms. -// The user should keep this in in mind while exploring these cores. +// This core is distributed in the hope that it will be useful, but WITHOUT ANY +// WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR +// A PARTICULAR PURPOSE. // -// Redistribution and use in source and binary forms, -// with or without modification of this file, are permitted under the terms of either -// (at the option of the user): +// Redistribution and use of source or resulting binaries, with or without modification +// of this file, are permitted under one of the following two license terms: // // 1. The GNU General Public License version 2 as published by the -// Free Software Foundation, which can be found in the top level directory, or at: -// https://www.gnu.org/licenses/old-licenses/gpl-2.0.en.html +// Free Software Foundation, which can be found in the top level directory of +// the repository (LICENSE_GPL2), and at: // // OR // -// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: -// https://github.com/analogdevicesinc/hdl/blob/dev/LICENSE +// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: +// https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD +// This will allow to generate bit files and not release the source code, +// as long as it attaches to an ADI device. // // *************************************************************************** // *************************************************************************** diff --git a/library/axi_hdmi_tx/axi_hdmi_tx.v b/library/axi_hdmi_tx/axi_hdmi_tx.v index 22dc5711f..48defe9be 100644 --- a/library/axi_hdmi_tx/axi_hdmi_tx.v +++ b/library/axi_hdmi_tx/axi_hdmi_tx.v @@ -2,21 +2,23 @@ // *************************************************************************** // Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved. // -// Each core or library found in this collection may have its own licensing terms. -// The user should keep this in in mind while exploring these cores. +// This core is distributed in the hope that it will be useful, but WITHOUT ANY +// WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR +// A PARTICULAR PURPOSE. // -// Redistribution and use in source and binary forms, -// with or without modification of this file, are permitted under the terms of either -// (at the option of the user): +// Redistribution and use of source or resulting binaries, with or without modification +// of this file, are permitted under one of the following two license terms: // // 1. The GNU General Public License version 2 as published by the -// Free Software Foundation, which can be found in the top level directory, or at: -// https://www.gnu.org/licenses/old-licenses/gpl-2.0.en.html +// Free Software Foundation, which can be found in the top level directory of +// the repository (LICENSE_GPL2), and at: // // OR // -// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: -// https://github.com/analogdevicesinc/hdl/blob/dev/LICENSE +// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: +// https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD +// This will allow to generate bit files and not release the source code, +// as long as it attaches to an ADI device. // // *************************************************************************** // *************************************************************************** diff --git a/library/axi_hdmi_tx/axi_hdmi_tx_core.v b/library/axi_hdmi_tx/axi_hdmi_tx_core.v index 4eaf25a7e..fa2b62f6b 100644 --- a/library/axi_hdmi_tx/axi_hdmi_tx_core.v +++ b/library/axi_hdmi_tx/axi_hdmi_tx_core.v @@ -2,21 +2,23 @@ // *************************************************************************** // Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved. // -// Each core or library found in this collection may have its own licensing terms. -// The user should keep this in in mind while exploring these cores. +// This core is distributed in the hope that it will be useful, but WITHOUT ANY +// WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR +// A PARTICULAR PURPOSE. // -// Redistribution and use in source and binary forms, -// with or without modification of this file, are permitted under the terms of either -// (at the option of the user): +// Redistribution and use of source or resulting binaries, with or without modification +// of this file, are permitted under one of the following two license terms: // // 1. The GNU General Public License version 2 as published by the -// Free Software Foundation, which can be found in the top level directory, or at: -// https://www.gnu.org/licenses/old-licenses/gpl-2.0.en.html +// Free Software Foundation, which can be found in the top level directory of +// the repository (LICENSE_GPL2), and at: // // OR // -// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: -// https://github.com/analogdevicesinc/hdl/blob/dev/LICENSE +// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: +// https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD +// This will allow to generate bit files and not release the source code, +// as long as it attaches to an ADI device. // // *************************************************************************** // *************************************************************************** diff --git a/library/axi_hdmi_tx/axi_hdmi_tx_es.v b/library/axi_hdmi_tx/axi_hdmi_tx_es.v index 54c867b18..87d7fe10f 100644 --- a/library/axi_hdmi_tx/axi_hdmi_tx_es.v +++ b/library/axi_hdmi_tx/axi_hdmi_tx_es.v @@ -2,21 +2,23 @@ // *************************************************************************** // Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved. // -// Each core or library found in this collection may have its own licensing terms. -// The user should keep this in in mind while exploring these cores. +// This core is distributed in the hope that it will be useful, but WITHOUT ANY +// WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR +// A PARTICULAR PURPOSE. // -// Redistribution and use in source and binary forms, -// with or without modification of this file, are permitted under the terms of either -// (at the option of the user): +// Redistribution and use of source or resulting binaries, with or without modification +// of this file, are permitted under one of the following two license terms: // // 1. The GNU General Public License version 2 as published by the -// Free Software Foundation, which can be found in the top level directory, or at: -// https://www.gnu.org/licenses/old-licenses/gpl-2.0.en.html +// Free Software Foundation, which can be found in the top level directory of +// the repository (LICENSE_GPL2), and at: // // OR // -// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: -// https://github.com/analogdevicesinc/hdl/blob/dev/LICENSE +// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: +// https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD +// This will allow to generate bit files and not release the source code, +// as long as it attaches to an ADI device. // // *************************************************************************** // *************************************************************************** diff --git a/library/axi_hdmi_tx/axi_hdmi_tx_vdma.v b/library/axi_hdmi_tx/axi_hdmi_tx_vdma.v index 7a073f95a..cf7e9c337 100644 --- a/library/axi_hdmi_tx/axi_hdmi_tx_vdma.v +++ b/library/axi_hdmi_tx/axi_hdmi_tx_vdma.v @@ -2,21 +2,23 @@ // *************************************************************************** // Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved. // -// Each core or library found in this collection may have its own licensing terms. -// The user should keep this in in mind while exploring these cores. +// This core is distributed in the hope that it will be useful, but WITHOUT ANY +// WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR +// A PARTICULAR PURPOSE. // -// Redistribution and use in source and binary forms, -// with or without modification of this file, are permitted under the terms of either -// (at the option of the user): +// Redistribution and use of source or resulting binaries, with or without modification +// of this file, are permitted under one of the following two license terms: // // 1. The GNU General Public License version 2 as published by the -// Free Software Foundation, which can be found in the top level directory, or at: -// https://www.gnu.org/licenses/old-licenses/gpl-2.0.en.html +// Free Software Foundation, which can be found in the top level directory of +// the repository (LICENSE_GPL2), and at: // // OR // -// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: -// https://github.com/analogdevicesinc/hdl/blob/dev/LICENSE +// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: +// https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD +// This will allow to generate bit files and not release the source code, +// as long as it attaches to an ADI device. // // *************************************************************************** // *************************************************************************** diff --git a/library/axi_i2s_adi/axi_i2s_adi.vhd b/library/axi_i2s_adi/axi_i2s_adi.vhd index 79bc55b33..fdbe6b3c6 100644 --- a/library/axi_i2s_adi/axi_i2s_adi.vhd +++ b/library/axi_i2s_adi/axi_i2s_adi.vhd @@ -2,21 +2,23 @@ -- *************************************************************************** -- Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved. -- --- Each core or library found in this collection may have its own licensing terms. --- The user should keep this in in mind while exploring these cores. +-- This core is distributed in the hope that it will be useful, but WITHOUT ANY +-- WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR +-- A PARTICULAR PURPOSE. -- --- Redistribution and use in source and binary forms, --- with or without modification of this file, are permitted under the terms of either --- (at the option of the user): +-- Redistribution and use of source or resulting binaries, with or without modification +-- of this file, are permitted under one of the following two license terms: -- -- 1. The GNU General Public License version 2 as published by the --- Free Software Foundation, which can be found in the top level directory, or at: --- https://www.gnu.org/licenses/old-licenses/gpl-2.0.en.html +-- Free Software Foundation, which can be found in the top level directory of +-- the repository (LICENSE_GPL2), and at: -- -- OR -- --- 2. An ADI specific BSD license as noted in the top level directory, or on-line at: --- https://github.com/analogdevicesinc/hdl/blob/dev/LICENSE +-- 2. An ADI specific BSD license as noted in the top level directory, or on-line at: +-- https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD +-- This will allow to generate bit files and not release the source code, +-- as long as it attaches to an ADI device. -- -- *************************************************************************** -- *************************************************************************** diff --git a/library/axi_i2s_adi/fifo_synchronizer.vhd b/library/axi_i2s_adi/fifo_synchronizer.vhd index 02c68a6e4..d1c1da974 100644 --- a/library/axi_i2s_adi/fifo_synchronizer.vhd +++ b/library/axi_i2s_adi/fifo_synchronizer.vhd @@ -2,21 +2,23 @@ -- *************************************************************************** -- Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved. -- --- Each core or library found in this collection may have its own licensing terms. --- The user should keep this in in mind while exploring these cores. +-- This core is distributed in the hope that it will be useful, but WITHOUT ANY +-- WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR +-- A PARTICULAR PURPOSE. -- --- Redistribution and use in source and binary forms, --- with or without modification of this file, are permitted under the terms of either --- (at the option of the user): +-- Redistribution and use of source or resulting binaries, with or without modification +-- of this file, are permitted under one of the following two license terms: -- -- 1. The GNU General Public License version 2 as published by the --- Free Software Foundation, which can be found in the top level directory, or at: --- https://www.gnu.org/licenses/old-licenses/gpl-2.0.en.html +-- Free Software Foundation, which can be found in the top level directory of +-- the repository (LICENSE_GPL2), and at: -- -- OR -- --- 2. An ADI specific BSD license as noted in the top level directory, or on-line at: --- https://github.com/analogdevicesinc/hdl/blob/dev/LICENSE +-- 2. An ADI specific BSD license as noted in the top level directory, or on-line at: +-- https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD +-- This will allow to generate bit files and not release the source code, +-- as long as it attaches to an ADI device. -- -- *************************************************************************** -- *************************************************************************** diff --git a/library/axi_i2s_adi/i2s_clkgen.vhd b/library/axi_i2s_adi/i2s_clkgen.vhd index cb83e6b14..bae52ddce 100644 --- a/library/axi_i2s_adi/i2s_clkgen.vhd +++ b/library/axi_i2s_adi/i2s_clkgen.vhd @@ -2,21 +2,23 @@ -- *************************************************************************** -- Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved. -- --- Each core or library found in this collection may have its own licensing terms. --- The user should keep this in in mind while exploring these cores. +-- This core is distributed in the hope that it will be useful, but WITHOUT ANY +-- WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR +-- A PARTICULAR PURPOSE. -- --- Redistribution and use in source and binary forms, --- with or without modification of this file, are permitted under the terms of either --- (at the option of the user): +-- Redistribution and use of source or resulting binaries, with or without modification +-- of this file, are permitted under one of the following two license terms: -- -- 1. The GNU General Public License version 2 as published by the --- Free Software Foundation, which can be found in the top level directory, or at: --- https://www.gnu.org/licenses/old-licenses/gpl-2.0.en.html +-- Free Software Foundation, which can be found in the top level directory of +-- the repository (LICENSE_GPL2), and at: -- -- OR -- --- 2. An ADI specific BSD license as noted in the top level directory, or on-line at: --- https://github.com/analogdevicesinc/hdl/blob/dev/LICENSE +-- 2. An ADI specific BSD license as noted in the top level directory, or on-line at: +-- https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD +-- This will allow to generate bit files and not release the source code, +-- as long as it attaches to an ADI device. -- -- *************************************************************************** -- *************************************************************************** diff --git a/library/axi_i2s_adi/i2s_controller.vhd b/library/axi_i2s_adi/i2s_controller.vhd index 4082edb8a..b172d48d9 100644 --- a/library/axi_i2s_adi/i2s_controller.vhd +++ b/library/axi_i2s_adi/i2s_controller.vhd @@ -2,21 +2,23 @@ -- *************************************************************************** -- Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved. -- --- Each core or library found in this collection may have its own licensing terms. --- The user should keep this in in mind while exploring these cores. +-- This core is distributed in the hope that it will be useful, but WITHOUT ANY +-- WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR +-- A PARTICULAR PURPOSE. -- --- Redistribution and use in source and binary forms, --- with or without modification of this file, are permitted under the terms of either --- (at the option of the user): +-- Redistribution and use of source or resulting binaries, with or without modification +-- of this file, are permitted under one of the following two license terms: -- -- 1. The GNU General Public License version 2 as published by the --- Free Software Foundation, which can be found in the top level directory, or at: --- https://www.gnu.org/licenses/old-licenses/gpl-2.0.en.html +-- Free Software Foundation, which can be found in the top level directory of +-- the repository (LICENSE_GPL2), and at: -- -- OR -- --- 2. An ADI specific BSD license as noted in the top level directory, or on-line at: --- https://github.com/analogdevicesinc/hdl/blob/dev/LICENSE +-- 2. An ADI specific BSD license as noted in the top level directory, or on-line at: +-- https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD +-- This will allow to generate bit files and not release the source code, +-- as long as it attaches to an ADI device. -- -- *************************************************************************** -- *************************************************************************** diff --git a/library/axi_i2s_adi/i2s_rx.vhd b/library/axi_i2s_adi/i2s_rx.vhd index 8adbd964e..d7a66bc8b 100644 --- a/library/axi_i2s_adi/i2s_rx.vhd +++ b/library/axi_i2s_adi/i2s_rx.vhd @@ -2,21 +2,23 @@ -- *************************************************************************** -- Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved. -- --- Each core or library found in this collection may have its own licensing terms. --- The user should keep this in in mind while exploring these cores. +-- This core is distributed in the hope that it will be useful, but WITHOUT ANY +-- WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR +-- A PARTICULAR PURPOSE. -- --- Redistribution and use in source and binary forms, --- with or without modification of this file, are permitted under the terms of either --- (at the option of the user): +-- Redistribution and use of source or resulting binaries, with or without modification +-- of this file, are permitted under one of the following two license terms: -- -- 1. The GNU General Public License version 2 as published by the --- Free Software Foundation, which can be found in the top level directory, or at: --- https://www.gnu.org/licenses/old-licenses/gpl-2.0.en.html +-- Free Software Foundation, which can be found in the top level directory of +-- the repository (LICENSE_GPL2), and at: -- -- OR -- --- 2. An ADI specific BSD license as noted in the top level directory, or on-line at: --- https://github.com/analogdevicesinc/hdl/blob/dev/LICENSE +-- 2. An ADI specific BSD license as noted in the top level directory, or on-line at: +-- https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD +-- This will allow to generate bit files and not release the source code, +-- as long as it attaches to an ADI device. -- -- *************************************************************************** -- *************************************************************************** diff --git a/library/axi_i2s_adi/i2s_tx.vhd b/library/axi_i2s_adi/i2s_tx.vhd index 91b012fd9..605cb5687 100644 --- a/library/axi_i2s_adi/i2s_tx.vhd +++ b/library/axi_i2s_adi/i2s_tx.vhd @@ -2,21 +2,23 @@ -- *************************************************************************** -- Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved. -- --- Each core or library found in this collection may have its own licensing terms. --- The user should keep this in in mind while exploring these cores. +-- This core is distributed in the hope that it will be useful, but WITHOUT ANY +-- WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR +-- A PARTICULAR PURPOSE. -- --- Redistribution and use in source and binary forms, --- with or without modification of this file, are permitted under the terms of either --- (at the option of the user): +-- Redistribution and use of source or resulting binaries, with or without modification +-- of this file, are permitted under one of the following two license terms: -- -- 1. The GNU General Public License version 2 as published by the --- Free Software Foundation, which can be found in the top level directory, or at: --- https://www.gnu.org/licenses/old-licenses/gpl-2.0.en.html +-- Free Software Foundation, which can be found in the top level directory of +-- the repository (LICENSE_GPL2), and at: -- -- OR -- --- 2. An ADI specific BSD license as noted in the top level directory, or on-line at: --- https://github.com/analogdevicesinc/hdl/blob/dev/LICENSE +-- 2. An ADI specific BSD license as noted in the top level directory, or on-line at: +-- https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD +-- This will allow to generate bit files and not release the source code, +-- as long as it attaches to an ADI device. -- -- *************************************************************************** -- *************************************************************************** diff --git a/library/axi_intr_monitor/axi_intr_monitor.v b/library/axi_intr_monitor/axi_intr_monitor.v index 8884074b8..94d600d66 100644 --- a/library/axi_intr_monitor/axi_intr_monitor.v +++ b/library/axi_intr_monitor/axi_intr_monitor.v @@ -2,21 +2,23 @@ // *************************************************************************** // Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved. // -// Each core or library found in this collection may have its own licensing terms. -// The user should keep this in in mind while exploring these cores. +// This core is distributed in the hope that it will be useful, but WITHOUT ANY +// WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR +// A PARTICULAR PURPOSE. // -// Redistribution and use in source and binary forms, -// with or without modification of this file, are permitted under the terms of either -// (at the option of the user): +// Redistribution and use of source or resulting binaries, with or without modification +// of this file, are permitted under one of the following two license terms: // // 1. The GNU General Public License version 2 as published by the -// Free Software Foundation, which can be found in the top level directory, or at: -// https://www.gnu.org/licenses/old-licenses/gpl-2.0.en.html +// Free Software Foundation, which can be found in the top level directory of +// the repository (LICENSE_GPL2), and at: // // OR // -// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: -// https://github.com/analogdevicesinc/hdl/blob/dev/LICENSE +// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: +// https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD +// This will allow to generate bit files and not release the source code, +// as long as it attaches to an ADI device. // // *************************************************************************** // *************************************************************************** diff --git a/library/axi_logic_analyzer/axi_logic_analyzer.v b/library/axi_logic_analyzer/axi_logic_analyzer.v index fde9c72c6..2c3e73c74 100644 --- a/library/axi_logic_analyzer/axi_logic_analyzer.v +++ b/library/axi_logic_analyzer/axi_logic_analyzer.v @@ -2,21 +2,23 @@ // *************************************************************************** // Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved. // -// Each core or library found in this collection may have its own licensing terms. -// The user should keep this in in mind while exploring these cores. +// This core is distributed in the hope that it will be useful, but WITHOUT ANY +// WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR +// A PARTICULAR PURPOSE. // -// Redistribution and use in source and binary forms, -// with or without modification of this file, are permitted under the terms of either -// (at the option of the user): +// Redistribution and use of source or resulting binaries, with or without modification +// of this file, are permitted under one of the following two license terms: // // 1. The GNU General Public License version 2 as published by the -// Free Software Foundation, which can be found in the top level directory, or at: -// https://www.gnu.org/licenses/old-licenses/gpl-2.0.en.html +// Free Software Foundation, which can be found in the top level directory of +// the repository (LICENSE_GPL2), and at: // // OR // -// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: -// https://github.com/analogdevicesinc/hdl/blob/dev/LICENSE +// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: +// https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD +// This will allow to generate bit files and not release the source code, +// as long as it attaches to an ADI device. // // *************************************************************************** // *************************************************************************** diff --git a/library/axi_logic_analyzer/axi_logic_analyzer_reg.v b/library/axi_logic_analyzer/axi_logic_analyzer_reg.v index 84d2d7750..9a7fdbd41 100644 --- a/library/axi_logic_analyzer/axi_logic_analyzer_reg.v +++ b/library/axi_logic_analyzer/axi_logic_analyzer_reg.v @@ -2,21 +2,23 @@ // *************************************************************************** // Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved. // -// Each core or library found in this collection may have its own licensing terms. -// The user should keep this in in mind while exploring these cores. +// This core is distributed in the hope that it will be useful, but WITHOUT ANY +// WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR +// A PARTICULAR PURPOSE. // -// Redistribution and use in source and binary forms, -// with or without modification of this file, are permitted under the terms of either -// (at the option of the user): +// Redistribution and use of source or resulting binaries, with or without modification +// of this file, are permitted under one of the following two license terms: // // 1. The GNU General Public License version 2 as published by the -// Free Software Foundation, which can be found in the top level directory, or at: -// https://www.gnu.org/licenses/old-licenses/gpl-2.0.en.html +// Free Software Foundation, which can be found in the top level directory of +// the repository (LICENSE_GPL2), and at: // // OR // -// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: -// https://github.com/analogdevicesinc/hdl/blob/dev/LICENSE +// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: +// https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD +// This will allow to generate bit files and not release the source code, +// as long as it attaches to an ADI device. // // *************************************************************************** // *************************************************************************** diff --git a/library/axi_logic_analyzer/axi_logic_analyzer_trigger.v b/library/axi_logic_analyzer/axi_logic_analyzer_trigger.v index f9d71a181..e4fa7477f 100644 --- a/library/axi_logic_analyzer/axi_logic_analyzer_trigger.v +++ b/library/axi_logic_analyzer/axi_logic_analyzer_trigger.v @@ -2,21 +2,23 @@ // *************************************************************************** // Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved. // -// Each core or library found in this collection may have its own licensing terms. -// The user should keep this in in mind while exploring these cores. +// This core is distributed in the hope that it will be useful, but WITHOUT ANY +// WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR +// A PARTICULAR PURPOSE. // -// Redistribution and use in source and binary forms, -// with or without modification of this file, are permitted under the terms of either -// (at the option of the user): +// Redistribution and use of source or resulting binaries, with or without modification +// of this file, are permitted under one of the following two license terms: // // 1. The GNU General Public License version 2 as published by the -// Free Software Foundation, which can be found in the top level directory, or at: -// https://www.gnu.org/licenses/old-licenses/gpl-2.0.en.html +// Free Software Foundation, which can be found in the top level directory of +// the repository (LICENSE_GPL2), and at: // // OR // -// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: -// https://github.com/analogdevicesinc/hdl/blob/dev/LICENSE +// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: +// https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD +// This will allow to generate bit files and not release the source code, +// as long as it attaches to an ADI device. // // *************************************************************************** // *************************************************************************** diff --git a/library/axi_mc_controller/axi_mc_controller.v b/library/axi_mc_controller/axi_mc_controller.v index e35d42060..4bbdb29c3 100644 --- a/library/axi_mc_controller/axi_mc_controller.v +++ b/library/axi_mc_controller/axi_mc_controller.v @@ -2,21 +2,23 @@ // *************************************************************************** // Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved. // -// Each core or library found in this collection may have its own licensing terms. -// The user should keep this in in mind while exploring these cores. +// This core is distributed in the hope that it will be useful, but WITHOUT ANY +// WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR +// A PARTICULAR PURPOSE. // -// Redistribution and use in source and binary forms, -// with or without modification of this file, are permitted under the terms of either -// (at the option of the user): +// Redistribution and use of source or resulting binaries, with or without modification +// of this file, are permitted under one of the following two license terms: // // 1. The GNU General Public License version 2 as published by the -// Free Software Foundation, which can be found in the top level directory, or at: -// https://www.gnu.org/licenses/old-licenses/gpl-2.0.en.html +// Free Software Foundation, which can be found in the top level directory of +// the repository (LICENSE_GPL2), and at: // // OR // -// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: -// https://github.com/analogdevicesinc/hdl/blob/dev/LICENSE +// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: +// https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD +// This will allow to generate bit files and not release the source code, +// as long as it attaches to an ADI device. // // *************************************************************************** // *************************************************************************** diff --git a/library/axi_mc_controller/control_registers.v b/library/axi_mc_controller/control_registers.v index 2ef59ee7d..a16d84e2f 100644 --- a/library/axi_mc_controller/control_registers.v +++ b/library/axi_mc_controller/control_registers.v @@ -2,21 +2,23 @@ // *************************************************************************** // Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved. // -// Each core or library found in this collection may have its own licensing terms. -// The user should keep this in in mind while exploring these cores. +// This core is distributed in the hope that it will be useful, but WITHOUT ANY +// WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR +// A PARTICULAR PURPOSE. // -// Redistribution and use in source and binary forms, -// with or without modification of this file, are permitted under the terms of either -// (at the option of the user): +// Redistribution and use of source or resulting binaries, with or without modification +// of this file, are permitted under one of the following two license terms: // // 1. The GNU General Public License version 2 as published by the -// Free Software Foundation, which can be found in the top level directory, or at: -// https://www.gnu.org/licenses/old-licenses/gpl-2.0.en.html +// Free Software Foundation, which can be found in the top level directory of +// the repository (LICENSE_GPL2), and at: // // OR // -// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: -// https://github.com/analogdevicesinc/hdl/blob/dev/LICENSE +// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: +// https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD +// This will allow to generate bit files and not release the source code, +// as long as it attaches to an ADI device. // // *************************************************************************** // *************************************************************************** diff --git a/library/axi_mc_controller/delay.v b/library/axi_mc_controller/delay.v index c9f3c7e08..fe1db5c48 100644 --- a/library/axi_mc_controller/delay.v +++ b/library/axi_mc_controller/delay.v @@ -2,21 +2,23 @@ // *************************************************************************** // Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved. // -// Each core or library found in this collection may have its own licensing terms. -// The user should keep this in in mind while exploring these cores. +// This core is distributed in the hope that it will be useful, but WITHOUT ANY +// WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR +// A PARTICULAR PURPOSE. // -// Redistribution and use in source and binary forms, -// with or without modification of this file, are permitted under the terms of either -// (at the option of the user): +// Redistribution and use of source or resulting binaries, with or without modification +// of this file, are permitted under one of the following two license terms: // // 1. The GNU General Public License version 2 as published by the -// Free Software Foundation, which can be found in the top level directory, or at: -// https://www.gnu.org/licenses/old-licenses/gpl-2.0.en.html +// Free Software Foundation, which can be found in the top level directory of +// the repository (LICENSE_GPL2), and at: // // OR // -// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: -// https://github.com/analogdevicesinc/hdl/blob/dev/LICENSE +// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: +// https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD +// This will allow to generate bit files and not release the source code, +// as long as it attaches to an ADI device. // // *************************************************************************** // *************************************************************************** diff --git a/library/axi_mc_controller/motor_driver.v b/library/axi_mc_controller/motor_driver.v index 62615e1c6..7701142dc 100644 --- a/library/axi_mc_controller/motor_driver.v +++ b/library/axi_mc_controller/motor_driver.v @@ -2,21 +2,23 @@ // *************************************************************************** // Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved. // -// Each core or library found in this collection may have its own licensing terms. -// The user should keep this in in mind while exploring these cores. +// This core is distributed in the hope that it will be useful, but WITHOUT ANY +// WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR +// A PARTICULAR PURPOSE. // -// Redistribution and use in source and binary forms, -// with or without modification of this file, are permitted under the terms of either -// (at the option of the user): +// Redistribution and use of source or resulting binaries, with or without modification +// of this file, are permitted under one of the following two license terms: // // 1. The GNU General Public License version 2 as published by the -// Free Software Foundation, which can be found in the top level directory, or at: -// https://www.gnu.org/licenses/old-licenses/gpl-2.0.en.html +// Free Software Foundation, which can be found in the top level directory of +// the repository (LICENSE_GPL2), and at: // // OR // -// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: -// https://github.com/analogdevicesinc/hdl/blob/dev/LICENSE +// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: +// https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD +// This will allow to generate bit files and not release the source code, +// as long as it attaches to an ADI device. // // *************************************************************************** // *************************************************************************** diff --git a/library/axi_mc_current_monitor/ad7401.v b/library/axi_mc_current_monitor/ad7401.v index 2a5c1a0b1..9b34a11f6 100644 --- a/library/axi_mc_current_monitor/ad7401.v +++ b/library/axi_mc_current_monitor/ad7401.v @@ -2,21 +2,23 @@ // *************************************************************************** // Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved. // -// Each core or library found in this collection may have its own licensing terms. -// The user should keep this in in mind while exploring these cores. +// This core is distributed in the hope that it will be useful, but WITHOUT ANY +// WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR +// A PARTICULAR PURPOSE. // -// Redistribution and use in source and binary forms, -// with or without modification of this file, are permitted under the terms of either -// (at the option of the user): +// Redistribution and use of source or resulting binaries, with or without modification +// of this file, are permitted under one of the following two license terms: // // 1. The GNU General Public License version 2 as published by the -// Free Software Foundation, which can be found in the top level directory, or at: -// https://www.gnu.org/licenses/old-licenses/gpl-2.0.en.html +// Free Software Foundation, which can be found in the top level directory of +// the repository (LICENSE_GPL2), and at: // // OR // -// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: -// https://github.com/analogdevicesinc/hdl/blob/dev/LICENSE +// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: +// https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD +// This will allow to generate bit files and not release the source code, +// as long as it attaches to an ADI device. // // *************************************************************************** // *************************************************************************** diff --git a/library/axi_mc_current_monitor/axi_mc_current_monitor.v b/library/axi_mc_current_monitor/axi_mc_current_monitor.v index 912139013..cc65cad8c 100644 --- a/library/axi_mc_current_monitor/axi_mc_current_monitor.v +++ b/library/axi_mc_current_monitor/axi_mc_current_monitor.v @@ -2,21 +2,23 @@ // *************************************************************************** // Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved. // -// Each core or library found in this collection may have its own licensing terms. -// The user should keep this in in mind while exploring these cores. +// This core is distributed in the hope that it will be useful, but WITHOUT ANY +// WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR +// A PARTICULAR PURPOSE. // -// Redistribution and use in source and binary forms, -// with or without modification of this file, are permitted under the terms of either -// (at the option of the user): +// Redistribution and use of source or resulting binaries, with or without modification +// of this file, are permitted under one of the following two license terms: // // 1. The GNU General Public License version 2 as published by the -// Free Software Foundation, which can be found in the top level directory, or at: -// https://www.gnu.org/licenses/old-licenses/gpl-2.0.en.html +// Free Software Foundation, which can be found in the top level directory of +// the repository (LICENSE_GPL2), and at: // // OR // -// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: -// https://github.com/analogdevicesinc/hdl/blob/dev/LICENSE +// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: +// https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD +// This will allow to generate bit files and not release the source code, +// as long as it attaches to an ADI device. // // *************************************************************************** // *************************************************************************** diff --git a/library/axi_mc_current_monitor/dec256sinc24b.v b/library/axi_mc_current_monitor/dec256sinc24b.v index a7746ade0..472b6524c 100644 --- a/library/axi_mc_current_monitor/dec256sinc24b.v +++ b/library/axi_mc_current_monitor/dec256sinc24b.v @@ -2,21 +2,23 @@ // *************************************************************************** // Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved. // -// Each core or library found in this collection may have its own licensing terms. -// The user should keep this in in mind while exploring these cores. +// This core is distributed in the hope that it will be useful, but WITHOUT ANY +// WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR +// A PARTICULAR PURPOSE. // -// Redistribution and use in source and binary forms, -// with or without modification of this file, are permitted under the terms of either -// (at the option of the user): +// Redistribution and use of source or resulting binaries, with or without modification +// of this file, are permitted under one of the following two license terms: // // 1. The GNU General Public License version 2 as published by the -// Free Software Foundation, which can be found in the top level directory, or at: -// https://www.gnu.org/licenses/old-licenses/gpl-2.0.en.html +// Free Software Foundation, which can be found in the top level directory of +// the repository (LICENSE_GPL2), and at: // // OR // -// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: -// https://github.com/analogdevicesinc/hdl/blob/dev/LICENSE +// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: +// https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD +// This will allow to generate bit files and not release the source code, +// as long as it attaches to an ADI device. // // *************************************************************************** // *************************************************************************** diff --git a/library/axi_mc_speed/axi_mc_speed.v b/library/axi_mc_speed/axi_mc_speed.v index 9ceb1cb84..a69089bf8 100644 --- a/library/axi_mc_speed/axi_mc_speed.v +++ b/library/axi_mc_speed/axi_mc_speed.v @@ -2,21 +2,23 @@ // *************************************************************************** // Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved. // -// Each core or library found in this collection may have its own licensing terms. -// The user should keep this in in mind while exploring these cores. +// This core is distributed in the hope that it will be useful, but WITHOUT ANY +// WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR +// A PARTICULAR PURPOSE. // -// Redistribution and use in source and binary forms, -// with or without modification of this file, are permitted under the terms of either -// (at the option of the user): +// Redistribution and use of source or resulting binaries, with or without modification +// of this file, are permitted under one of the following two license terms: // // 1. The GNU General Public License version 2 as published by the -// Free Software Foundation, which can be found in the top level directory, or at: -// https://www.gnu.org/licenses/old-licenses/gpl-2.0.en.html +// Free Software Foundation, which can be found in the top level directory of +// the repository (LICENSE_GPL2), and at: // // OR // -// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: -// https://github.com/analogdevicesinc/hdl/blob/dev/LICENSE +// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: +// https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD +// This will allow to generate bit files and not release the source code, +// as long as it attaches to an ADI device. // // *************************************************************************** // *************************************************************************** diff --git a/library/axi_mc_speed/debouncer.v b/library/axi_mc_speed/debouncer.v index b9b44846b..b54f252b9 100644 --- a/library/axi_mc_speed/debouncer.v +++ b/library/axi_mc_speed/debouncer.v @@ -2,21 +2,23 @@ // *************************************************************************** // Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved. // -// Each core or library found in this collection may have its own licensing terms. -// The user should keep this in in mind while exploring these cores. +// This core is distributed in the hope that it will be useful, but WITHOUT ANY +// WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR +// A PARTICULAR PURPOSE. // -// Redistribution and use in source and binary forms, -// with or without modification of this file, are permitted under the terms of either -// (at the option of the user): +// Redistribution and use of source or resulting binaries, with or without modification +// of this file, are permitted under one of the following two license terms: // // 1. The GNU General Public License version 2 as published by the -// Free Software Foundation, which can be found in the top level directory, or at: -// https://www.gnu.org/licenses/old-licenses/gpl-2.0.en.html +// Free Software Foundation, which can be found in the top level directory of +// the repository (LICENSE_GPL2), and at: // // OR // -// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: -// https://github.com/analogdevicesinc/hdl/blob/dev/LICENSE +// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: +// https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD +// This will allow to generate bit files and not release the source code, +// as long as it attaches to an ADI device. // // *************************************************************************** // *************************************************************************** diff --git a/library/axi_mc_speed/delay_30_degrees.v b/library/axi_mc_speed/delay_30_degrees.v index fc44bac85..f858dc11c 100644 --- a/library/axi_mc_speed/delay_30_degrees.v +++ b/library/axi_mc_speed/delay_30_degrees.v @@ -2,21 +2,23 @@ // *************************************************************************** // Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved. // -// Each core or library found in this collection may have its own licensing terms. -// The user should keep this in in mind while exploring these cores. +// This core is distributed in the hope that it will be useful, but WITHOUT ANY +// WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR +// A PARTICULAR PURPOSE. // -// Redistribution and use in source and binary forms, -// with or without modification of this file, are permitted under the terms of either -// (at the option of the user): +// Redistribution and use of source or resulting binaries, with or without modification +// of this file, are permitted under one of the following two license terms: // // 1. The GNU General Public License version 2 as published by the -// Free Software Foundation, which can be found in the top level directory, or at: -// https://www.gnu.org/licenses/old-licenses/gpl-2.0.en.html +// Free Software Foundation, which can be found in the top level directory of +// the repository (LICENSE_GPL2), and at: // // OR // -// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: -// https://github.com/analogdevicesinc/hdl/blob/dev/LICENSE +// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: +// https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD +// This will allow to generate bit files and not release the source code, +// as long as it attaches to an ADI device. // // *************************************************************************** // *************************************************************************** diff --git a/library/axi_mc_speed/speed_detector.v b/library/axi_mc_speed/speed_detector.v index 139ef7446..d2399ee7a 100644 --- a/library/axi_mc_speed/speed_detector.v +++ b/library/axi_mc_speed/speed_detector.v @@ -2,21 +2,23 @@ // *************************************************************************** // Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved. // -// Each core or library found in this collection may have its own licensing terms. -// The user should keep this in in mind while exploring these cores. +// This core is distributed in the hope that it will be useful, but WITHOUT ANY +// WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR +// A PARTICULAR PURPOSE. // -// Redistribution and use in source and binary forms, -// with or without modification of this file, are permitted under the terms of either -// (at the option of the user): +// Redistribution and use of source or resulting binaries, with or without modification +// of this file, are permitted under one of the following two license terms: // // 1. The GNU General Public License version 2 as published by the -// Free Software Foundation, which can be found in the top level directory, or at: -// https://www.gnu.org/licenses/old-licenses/gpl-2.0.en.html +// Free Software Foundation, which can be found in the top level directory of +// the repository (LICENSE_GPL2), and at: // // OR // -// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: -// https://github.com/analogdevicesinc/hdl/blob/dev/LICENSE +// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: +// https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD +// This will allow to generate bit files and not release the source code, +// as long as it attaches to an ADI device. // // *************************************************************************** // *************************************************************************** diff --git a/library/axi_rd_wr_combiner/axi_rd_wr_combiner.v b/library/axi_rd_wr_combiner/axi_rd_wr_combiner.v index 139fecce6..42eb31a31 100644 --- a/library/axi_rd_wr_combiner/axi_rd_wr_combiner.v +++ b/library/axi_rd_wr_combiner/axi_rd_wr_combiner.v @@ -2,21 +2,23 @@ // *************************************************************************** // Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved. // -// Each core or library found in this collection may have its own licensing terms. -// The user should keep this in in mind while exploring these cores. +// This core is distributed in the hope that it will be useful, but WITHOUT ANY +// WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR +// A PARTICULAR PURPOSE. // -// Redistribution and use in source and binary forms, -// with or without modification of this file, are permitted under the terms of either -// (at the option of the user): +// Redistribution and use of source or resulting binaries, with or without modification +// of this file, are permitted under one of the following two license terms: // // 1. The GNU General Public License version 2 as published by the -// Free Software Foundation, which can be found in the top level directory, or at: -// https://www.gnu.org/licenses/old-licenses/gpl-2.0.en.html +// Free Software Foundation, which can be found in the top level directory of +// the repository (LICENSE_GPL2), and at: // // OR // -// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: -// https://github.com/analogdevicesinc/hdl/blob/dev/LICENSE +// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: +// https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD +// This will allow to generate bit files and not release the source code, +// as long as it attaches to an ADI device. // // *************************************************************************** // *************************************************************************** diff --git a/library/axi_spdif_rx/axi_spdif_rx.vhd b/library/axi_spdif_rx/axi_spdif_rx.vhd index 1e9e26711..d63071b5b 100644 --- a/library/axi_spdif_rx/axi_spdif_rx.vhd +++ b/library/axi_spdif_rx/axi_spdif_rx.vhd @@ -2,21 +2,23 @@ -- *************************************************************************** -- Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved. -- --- Each core or library found in this collection may have its own licensing terms. --- The user should keep this in in mind while exploring these cores. +-- This core is distributed in the hope that it will be useful, but WITHOUT ANY +-- WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR +-- A PARTICULAR PURPOSE. -- --- Redistribution and use in source and binary forms, --- with or without modification of this file, are permitted under the terms of either --- (at the option of the user): +-- Redistribution and use of source or resulting binaries, with or without modification +-- of this file, are permitted under one of the following two license terms: -- -- 1. The GNU General Public License version 2 as published by the --- Free Software Foundation, which can be found in the top level directory, or at: --- https://www.gnu.org/licenses/old-licenses/gpl-2.0.en.html +-- Free Software Foundation, which can be found in the top level directory of +-- the repository (LICENSE_GPL2), and at: -- -- OR -- --- 2. An ADI specific BSD license as noted in the top level directory, or on-line at: --- https://github.com/analogdevicesinc/hdl/blob/dev/LICENSE +-- 2. An ADI specific BSD license as noted in the top level directory, or on-line at: +-- https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD +-- This will allow to generate bit files and not release the source code, +-- as long as it attaches to an ADI device. -- -- *************************************************************************** -- *************************************************************************** diff --git a/library/axi_spdif_tx/axi_spdif_tx.vhd b/library/axi_spdif_tx/axi_spdif_tx.vhd index 7bbde8465..afe1f5da0 100644 --- a/library/axi_spdif_tx/axi_spdif_tx.vhd +++ b/library/axi_spdif_tx/axi_spdif_tx.vhd @@ -2,21 +2,23 @@ -- *************************************************************************** -- Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved. -- --- Each core or library found in this collection may have its own licensing terms. --- The user should keep this in in mind while exploring these cores. +-- This core is distributed in the hope that it will be useful, but WITHOUT ANY +-- WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR +-- A PARTICULAR PURPOSE. -- --- Redistribution and use in source and binary forms, --- with or without modification of this file, are permitted under the terms of either --- (at the option of the user): +-- Redistribution and use of source or resulting binaries, with or without modification +-- of this file, are permitted under one of the following two license terms: -- -- 1. The GNU General Public License version 2 as published by the --- Free Software Foundation, which can be found in the top level directory, or at: --- http://www.gnu.org/licenses/old-licenses/gpl-2.0.en.html +-- Free Software Foundation, which can be found in the top level directory of +-- the repository (LICENSE_GPL2), and at: -- -- OR -- --- 2. An ADI specific BSD license as noted in the top level directory, or on-line at: --- http://github.com/analogdevicesinc/hdl/blob/dev/LICENSE +-- 2. An ADI specific BSD license as noted in the top level directory, or on-line at: +-- https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD +-- This will allow to generate bit files and not release the source code, +-- as long as it attaches to an ADI device. -- -- *************************************************************************** -- *************************************************************************** diff --git a/library/axi_usb_fx3/axi_usb_fx3.v b/library/axi_usb_fx3/axi_usb_fx3.v index 0ef6a8246..fa87ab6de 100644 --- a/library/axi_usb_fx3/axi_usb_fx3.v +++ b/library/axi_usb_fx3/axi_usb_fx3.v @@ -2,21 +2,23 @@ // *************************************************************************** // Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved. // -// Each core or library found in this collection may have its own licensing terms. -// The user should keep this in in mind while exploring these cores. +// This core is distributed in the hope that it will be useful, but WITHOUT ANY +// WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR +// A PARTICULAR PURPOSE. // -// Redistribution and use in source and binary forms, -// with or without modification of this file, are permitted under the terms of either -// (at the option of the user): +// Redistribution and use of source or resulting binaries, with or without modification +// of this file, are permitted under one of the following two license terms: // // 1. The GNU General Public License version 2 as published by the -// Free Software Foundation, which can be found in the top level directory, or at: -// https://www.gnu.org/licenses/old-licenses/gpl-2.0.en.html +// Free Software Foundation, which can be found in the top level directory of +// the repository (LICENSE_GPL2), and at: // // OR // -// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: -// https://github.com/analogdevicesinc/hdl/blob/dev/LICENSE +// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: +// https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD +// This will allow to generate bit files and not release the source code, +// as long as it attaches to an ADI device. // // *************************************************************************** // *************************************************************************** diff --git a/library/axi_usb_fx3/axi_usb_fx3_core.v b/library/axi_usb_fx3/axi_usb_fx3_core.v index e1fc8efed..842930794 100644 --- a/library/axi_usb_fx3/axi_usb_fx3_core.v +++ b/library/axi_usb_fx3/axi_usb_fx3_core.v @@ -2,21 +2,23 @@ // *************************************************************************** // Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved. // -// Each core or library found in this collection may have its own licensing terms. -// The user should keep this in in mind while exploring these cores. +// This core is distributed in the hope that it will be useful, but WITHOUT ANY +// WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR +// A PARTICULAR PURPOSE. // -// Redistribution and use in source and binary forms, -// with or without modification of this file, are permitted under the terms of either -// (at the option of the user): +// Redistribution and use of source or resulting binaries, with or without modification +// of this file, are permitted under one of the following two license terms: // // 1. The GNU General Public License version 2 as published by the -// Free Software Foundation, which can be found in the top level directory, or at: -// https://www.gnu.org/licenses/old-licenses/gpl-2.0.en.html +// Free Software Foundation, which can be found in the top level directory of +// the repository (LICENSE_GPL2), and at: // // OR // -// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: -// https://github.com/analogdevicesinc/hdl/blob/dev/LICENSE +// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: +// https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD +// This will allow to generate bit files and not release the source code, +// as long as it attaches to an ADI device. // // *************************************************************************** // *************************************************************************** diff --git a/library/axi_usb_fx3/axi_usb_fx3_if.v b/library/axi_usb_fx3/axi_usb_fx3_if.v index d4f3f2f11..0c3d7537a 100644 --- a/library/axi_usb_fx3/axi_usb_fx3_if.v +++ b/library/axi_usb_fx3/axi_usb_fx3_if.v @@ -2,21 +2,23 @@ // *************************************************************************** // Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved. // -// Each core or library found in this collection may have its own licensing terms. -// The user should keep this in in mind while exploring these cores. +// This core is distributed in the hope that it will be useful, but WITHOUT ANY +// WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR +// A PARTICULAR PURPOSE. // -// Redistribution and use in source and binary forms, -// with or without modification of this file, are permitted under the terms of either -// (at the option of the user): +// Redistribution and use of source or resulting binaries, with or without modification +// of this file, are permitted under one of the following two license terms: // // 1. The GNU General Public License version 2 as published by the -// Free Software Foundation, which can be found in the top level directory, or at: -// https://www.gnu.org/licenses/old-licenses/gpl-2.0.en.html +// Free Software Foundation, which can be found in the top level directory of +// the repository (LICENSE_GPL2), and at: // // OR // -// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: -// https://github.com/analogdevicesinc/hdl/blob/dev/LICENSE +// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: +// https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD +// This will allow to generate bit files and not release the source code, +// as long as it attaches to an ADI device. // // *************************************************************************** // *************************************************************************** diff --git a/library/axi_usb_fx3/axi_usb_fx3_reg.v b/library/axi_usb_fx3/axi_usb_fx3_reg.v index 41720f28a..2334517a4 100644 --- a/library/axi_usb_fx3/axi_usb_fx3_reg.v +++ b/library/axi_usb_fx3/axi_usb_fx3_reg.v @@ -2,21 +2,23 @@ // *************************************************************************** // Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved. // -// Each core or library found in this collection may have its own licensing terms. -// The user should keep this in in mind while exploring these cores. +// This core is distributed in the hope that it will be useful, but WITHOUT ANY +// WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR +// A PARTICULAR PURPOSE. // -// Redistribution and use in source and binary forms, -// with or without modification of this file, are permitted under the terms of either -// (at the option of the user): +// Redistribution and use of source or resulting binaries, with or without modification +// of this file, are permitted under one of the following two license terms: // // 1. The GNU General Public License version 2 as published by the -// Free Software Foundation, which can be found in the top level directory, or at: -// https://www.gnu.org/licenses/old-licenses/gpl-2.0.en.html +// Free Software Foundation, which can be found in the top level directory of +// the repository (LICENSE_GPL2), and at: // // OR // -// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: -// https://github.com/analogdevicesinc/hdl/blob/dev/LICENSE +// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: +// https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD +// This will allow to generate bit files and not release the source code, +// as long as it attaches to an ADI device. // // *************************************************************************** // *************************************************************************** diff --git a/library/cn0363/cn0363_dma_sequencer/cn0363_dma_sequencer.v b/library/cn0363/cn0363_dma_sequencer/cn0363_dma_sequencer.v index 89df5bf48..e113ad682 100644 --- a/library/cn0363/cn0363_dma_sequencer/cn0363_dma_sequencer.v +++ b/library/cn0363/cn0363_dma_sequencer/cn0363_dma_sequencer.v @@ -2,21 +2,23 @@ // *************************************************************************** // Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved. // -// Each core or library found in this collection may have its own licensing terms. -// The user should keep this in in mind while exploring these cores. +// This core is distributed in the hope that it will be useful, but WITHOUT ANY +// WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR +// A PARTICULAR PURPOSE. // -// Redistribution and use in source and binary forms, -// with or without modification of this file, are permitted under the terms of either -// (at the option of the user): +// Redistribution and use of source or resulting binaries, with or without modification +// of this file, are permitted under one of the following two license terms: // // 1. The GNU General Public License version 2 as published by the -// Free Software Foundation, which can be found in the top level directory, or at: -// https://www.gnu.org/licenses/old-licenses/gpl-2.0.en.html +// Free Software Foundation, which can be found in the top level directory of +// the repository (LICENSE_GPL2), and at: // // OR // -// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: -// https://github.com/analogdevicesinc/hdl/blob/dev/LICENSE +// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: +// https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD +// This will allow to generate bit files and not release the source code, +// as long as it attaches to an ADI device. // // *************************************************************************** // *************************************************************************** diff --git a/library/cn0363/cn0363_phase_data_sync/cn0363_phase_data_sync.v b/library/cn0363/cn0363_phase_data_sync/cn0363_phase_data_sync.v index 84af9e9b4..96ee2e382 100644 --- a/library/cn0363/cn0363_phase_data_sync/cn0363_phase_data_sync.v +++ b/library/cn0363/cn0363_phase_data_sync/cn0363_phase_data_sync.v @@ -2,21 +2,23 @@ // *************************************************************************** // Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved. // -// Each core or library found in this collection may have its own licensing terms. -// The user should keep this in in mind while exploring these cores. +// This core is distributed in the hope that it will be useful, but WITHOUT ANY +// WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR +// A PARTICULAR PURPOSE. // -// Redistribution and use in source and binary forms, -// with or without modification of this file, are permitted under the terms of either -// (at the option of the user): +// Redistribution and use of source or resulting binaries, with or without modification +// of this file, are permitted under one of the following two license terms: // // 1. The GNU General Public License version 2 as published by the -// Free Software Foundation, which can be found in the top level directory, or at: -// https://www.gnu.org/licenses/old-licenses/gpl-2.0.en.html +// Free Software Foundation, which can be found in the top level directory of +// the repository (LICENSE_GPL2), and at: // // OR // -// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: -// https://github.com/analogdevicesinc/hdl/blob/dev/LICENSE +// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: +// https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD +// This will allow to generate bit files and not release the source code, +// as long as it attaches to an ADI device. // // *************************************************************************** // *************************************************************************** diff --git a/library/common/ad_addsub.v b/library/common/ad_addsub.v index 6914bf5ae..4a06f7393 100644 --- a/library/common/ad_addsub.v +++ b/library/common/ad_addsub.v @@ -2,21 +2,23 @@ // *************************************************************************** // Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved. // -// Each core or library found in this collection may have its own licensing terms. -// The user should keep this in in mind while exploring these cores. +// This core is distributed in the hope that it will be useful, but WITHOUT ANY +// WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR +// A PARTICULAR PURPOSE. // -// Redistribution and use in source and binary forms, -// with or without modification of this file, are permitted under the terms of either -// (at the option of the user): +// Redistribution and use of source or resulting binaries, with or without modification +// of this file, are permitted under one of the following two license terms: // // 1. The GNU General Public License version 2 as published by the -// Free Software Foundation, which can be found in the top level directory, or at: -// https://www.gnu.org/licenses/old-licenses/gpl-2.0.en.html +// Free Software Foundation, which can be found in the top level directory of +// the repository (LICENSE_GPL2), and at: // // OR // -// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: -// https://github.com/analogdevicesinc/hdl/blob/dev/LICENSE +// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: +// https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD +// This will allow to generate bit files and not release the source code, +// as long as it attaches to an ADI device. // // *************************************************************************** // *************************************************************************** diff --git a/library/common/ad_axis_inf_rx.v b/library/common/ad_axis_inf_rx.v index a0c6fbf5b..8b67a8df4 100644 --- a/library/common/ad_axis_inf_rx.v +++ b/library/common/ad_axis_inf_rx.v @@ -2,21 +2,23 @@ // *************************************************************************** // Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved. // -// Each core or library found in this collection may have its own licensing terms. -// The user should keep this in in mind while exploring these cores. +// This core is distributed in the hope that it will be useful, but WITHOUT ANY +// WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR +// A PARTICULAR PURPOSE. // -// Redistribution and use in source and binary forms, -// with or without modification of this file, are permitted under the terms of either -// (at the option of the user): +// Redistribution and use of source or resulting binaries, with or without modification +// of this file, are permitted under one of the following two license terms: // // 1. The GNU General Public License version 2 as published by the -// Free Software Foundation, which can be found in the top level directory, or at: -// https://www.gnu.org/licenses/old-licenses/gpl-2.0.en.html +// Free Software Foundation, which can be found in the top level directory of +// the repository (LICENSE_GPL2), and at: // // OR // -// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: -// https://github.com/analogdevicesinc/hdl/blob/dev/LICENSE +// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: +// https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD +// This will allow to generate bit files and not release the source code, +// as long as it attaches to an ADI device. // // *************************************************************************** // *************************************************************************** diff --git a/library/common/ad_b2g.v b/library/common/ad_b2g.v index 223f0d95e..0f3c65be7 100644 --- a/library/common/ad_b2g.v +++ b/library/common/ad_b2g.v @@ -2,21 +2,23 @@ // *************************************************************************** // Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved. // -// Each core or library found in this collection may have its own licensing terms. -// The user should keep this in in mind while exploring these cores. +// This core is distributed in the hope that it will be useful, but WITHOUT ANY +// WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR +// A PARTICULAR PURPOSE. // -// Redistribution and use in source and binary forms, -// with or without modification of this file, are permitted under the terms of either -// (at the option of the user): +// Redistribution and use of source or resulting binaries, with or without modification +// of this file, are permitted under one of the following two license terms: // // 1. The GNU General Public License version 2 as published by the -// Free Software Foundation, which can be found in the top level directory, or at: -// https://www.gnu.org/licenses/old-licenses/gpl-2.0.en.html +// Free Software Foundation, which can be found in the top level directory of +// the repository (LICENSE_GPL2), and at: // // OR // -// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: -// https://github.com/analogdevicesinc/hdl/blob/dev/LICENSE +// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: +// https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD +// This will allow to generate bit files and not release the source code, +// as long as it attaches to an ADI device. // // *************************************************************************** // *************************************************************************** diff --git a/library/common/ad_csc_1.v b/library/common/ad_csc_1.v index 8841b7872..74262ea5a 100644 --- a/library/common/ad_csc_1.v +++ b/library/common/ad_csc_1.v @@ -2,21 +2,23 @@ // *************************************************************************** // Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved. // -// Each core or library found in this collection may have its own licensing terms. -// The user should keep this in in mind while exploring these cores. +// This core is distributed in the hope that it will be useful, but WITHOUT ANY +// WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR +// A PARTICULAR PURPOSE. // -// Redistribution and use in source and binary forms, -// with or without modification of this file, are permitted under the terms of either -// (at the option of the user): +// Redistribution and use of source or resulting binaries, with or without modification +// of this file, are permitted under one of the following two license terms: // // 1. The GNU General Public License version 2 as published by the -// Free Software Foundation, which can be found in the top level directory, or at: -// https://www.gnu.org/licenses/old-licenses/gpl-2.0.en.html +// Free Software Foundation, which can be found in the top level directory of +// the repository (LICENSE_GPL2), and at: // // OR // -// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: -// https://github.com/analogdevicesinc/hdl/blob/dev/LICENSE +// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: +// https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD +// This will allow to generate bit files and not release the source code, +// as long as it attaches to an ADI device. // // *************************************************************************** // *************************************************************************** diff --git a/library/common/ad_csc_1_add.v b/library/common/ad_csc_1_add.v index a48e4481b..564660413 100644 --- a/library/common/ad_csc_1_add.v +++ b/library/common/ad_csc_1_add.v @@ -2,21 +2,23 @@ // *************************************************************************** // Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved. // -// Each core or library found in this collection may have its own licensing terms. -// The user should keep this in in mind while exploring these cores. +// This core is distributed in the hope that it will be useful, but WITHOUT ANY +// WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR +// A PARTICULAR PURPOSE. // -// Redistribution and use in source and binary forms, -// with or without modification of this file, are permitted under the terms of either -// (at the option of the user): +// Redistribution and use of source or resulting binaries, with or without modification +// of this file, are permitted under one of the following two license terms: // // 1. The GNU General Public License version 2 as published by the -// Free Software Foundation, which can be found in the top level directory, or at: -// https://www.gnu.org/licenses/old-licenses/gpl-2.0.en.html +// Free Software Foundation, which can be found in the top level directory of +// the repository (LICENSE_GPL2), and at: // // OR // -// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: -// https://github.com/analogdevicesinc/hdl/blob/dev/LICENSE +// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: +// https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD +// This will allow to generate bit files and not release the source code, +// as long as it attaches to an ADI device. // // *************************************************************************** // *************************************************************************** diff --git a/library/common/ad_csc_1_mul.v b/library/common/ad_csc_1_mul.v index 13cb623e8..3b79e9e95 100644 --- a/library/common/ad_csc_1_mul.v +++ b/library/common/ad_csc_1_mul.v @@ -2,21 +2,23 @@ // *************************************************************************** // Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved. // -// Each core or library found in this collection may have its own licensing terms. -// The user should keep this in in mind while exploring these cores. +// This core is distributed in the hope that it will be useful, but WITHOUT ANY +// WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR +// A PARTICULAR PURPOSE. // -// Redistribution and use in source and binary forms, -// with or without modification of this file, are permitted under the terms of either -// (at the option of the user): +// Redistribution and use of source or resulting binaries, with or without modification +// of this file, are permitted under one of the following two license terms: // // 1. The GNU General Public License version 2 as published by the -// Free Software Foundation, which can be found in the top level directory, or at: -// https://www.gnu.org/licenses/old-licenses/gpl-2.0.en.html +// Free Software Foundation, which can be found in the top level directory of +// the repository (LICENSE_GPL2), and at: // // OR // -// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: -// https://github.com/analogdevicesinc/hdl/blob/dev/LICENSE +// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: +// https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD +// This will allow to generate bit files and not release the source code, +// as long as it attaches to an ADI device. // // *************************************************************************** // *************************************************************************** diff --git a/library/common/ad_csc_CrYCb2RGB.v b/library/common/ad_csc_CrYCb2RGB.v index 4c75d5708..ac538f112 100644 --- a/library/common/ad_csc_CrYCb2RGB.v +++ b/library/common/ad_csc_CrYCb2RGB.v @@ -2,21 +2,23 @@ // *************************************************************************** // Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved. // -// Each core or library found in this collection may have its own licensing terms. -// The user should keep this in in mind while exploring these cores. +// This core is distributed in the hope that it will be useful, but WITHOUT ANY +// WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR +// A PARTICULAR PURPOSE. // -// Redistribution and use in source and binary forms, -// with or without modification of this file, are permitted under the terms of either -// (at the option of the user): +// Redistribution and use of source or resulting binaries, with or without modification +// of this file, are permitted under one of the following two license terms: // // 1. The GNU General Public License version 2 as published by the -// Free Software Foundation, which can be found in the top level directory, or at: -// https://www.gnu.org/licenses/old-licenses/gpl-2.0.en.html +// Free Software Foundation, which can be found in the top level directory of +// the repository (LICENSE_GPL2), and at: // // OR // -// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: -// https://github.com/analogdevicesinc/hdl/blob/dev/LICENSE +// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: +// https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD +// This will allow to generate bit files and not release the source code, +// as long as it attaches to an ADI device. // // *************************************************************************** // *************************************************************************** diff --git a/library/common/ad_csc_RGB2CrYCb.v b/library/common/ad_csc_RGB2CrYCb.v index 4239cce15..eb7b6de94 100644 --- a/library/common/ad_csc_RGB2CrYCb.v +++ b/library/common/ad_csc_RGB2CrYCb.v @@ -2,21 +2,23 @@ // *************************************************************************** // Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved. // -// Each core or library found in this collection may have its own licensing terms. -// The user should keep this in in mind while exploring these cores. +// This core is distributed in the hope that it will be useful, but WITHOUT ANY +// WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR +// A PARTICULAR PURPOSE. // -// Redistribution and use in source and binary forms, -// with or without modification of this file, are permitted under the terms of either -// (at the option of the user): +// Redistribution and use of source or resulting binaries, with or without modification +// of this file, are permitted under one of the following two license terms: // // 1. The GNU General Public License version 2 as published by the -// Free Software Foundation, which can be found in the top level directory, or at: -// https://www.gnu.org/licenses/old-licenses/gpl-2.0.en.html +// Free Software Foundation, which can be found in the top level directory of +// the repository (LICENSE_GPL2), and at: // // OR // -// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: -// https://github.com/analogdevicesinc/hdl/blob/dev/LICENSE +// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: +// https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD +// This will allow to generate bit files and not release the source code, +// as long as it attaches to an ADI device. // // *************************************************************************** // *************************************************************************** diff --git a/library/common/ad_datafmt.v b/library/common/ad_datafmt.v index 425f52959..75a55065e 100644 --- a/library/common/ad_datafmt.v +++ b/library/common/ad_datafmt.v @@ -2,21 +2,23 @@ // *************************************************************************** // Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved. // -// Each core or library found in this collection may have its own licensing terms. -// The user should keep this in in mind while exploring these cores. +// This core is distributed in the hope that it will be useful, but WITHOUT ANY +// WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR +// A PARTICULAR PURPOSE. // -// Redistribution and use in source and binary forms, -// with or without modification of this file, are permitted under the terms of either -// (at the option of the user): +// Redistribution and use of source or resulting binaries, with or without modification +// of this file, are permitted under one of the following two license terms: // // 1. The GNU General Public License version 2 as published by the -// Free Software Foundation, which can be found in the top level directory, or at: -// https://www.gnu.org/licenses/old-licenses/gpl-2.0.en.html +// Free Software Foundation, which can be found in the top level directory of +// the repository (LICENSE_GPL2), and at: // // OR // -// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: -// https://github.com/analogdevicesinc/hdl/blob/dev/LICENSE +// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: +// https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD +// This will allow to generate bit files and not release the source code, +// as long as it attaches to an ADI device. // // *************************************************************************** // *************************************************************************** diff --git a/library/common/ad_dcfilter.v b/library/common/ad_dcfilter.v index a8013db36..d5932a197 100644 --- a/library/common/ad_dcfilter.v +++ b/library/common/ad_dcfilter.v @@ -2,21 +2,23 @@ // *************************************************************************** // Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved. // -// Each core or library found in this collection may have its own licensing terms. -// The user should keep this in in mind while exploring these cores. +// This core is distributed in the hope that it will be useful, but WITHOUT ANY +// WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR +// A PARTICULAR PURPOSE. // -// Redistribution and use in source and binary forms, -// with or without modification of this file, are permitted under the terms of either -// (at the option of the user): +// Redistribution and use of source or resulting binaries, with or without modification +// of this file, are permitted under one of the following two license terms: // // 1. The GNU General Public License version 2 as published by the -// Free Software Foundation, which can be found in the top level directory, or at: -// https://www.gnu.org/licenses/old-licenses/gpl-2.0.en.html +// Free Software Foundation, which can be found in the top level directory of +// the repository (LICENSE_GPL2), and at: // // OR // -// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: -// https://github.com/analogdevicesinc/hdl/blob/dev/LICENSE +// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: +// https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD +// This will allow to generate bit files and not release the source code, +// as long as it attaches to an ADI device. // // *************************************************************************** // *************************************************************************** diff --git a/library/common/ad_dds.v b/library/common/ad_dds.v index b58a8c1f2..49f6f0829 100644 --- a/library/common/ad_dds.v +++ b/library/common/ad_dds.v @@ -2,21 +2,23 @@ // *************************************************************************** // Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved. // -// Each core or library found in this collection may have its own licensing terms. -// The user should keep this in in mind while exploring these cores. +// This core is distributed in the hope that it will be useful, but WITHOUT ANY +// WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR +// A PARTICULAR PURPOSE. // -// Redistribution and use in source and binary forms, -// with or without modification of this file, are permitted under the terms of either -// (at the option of the user): +// Redistribution and use of source or resulting binaries, with or without modification +// of this file, are permitted under one of the following two license terms: // // 1. The GNU General Public License version 2 as published by the -// Free Software Foundation, which can be found in the top level directory, or at: -// https://www.gnu.org/licenses/old-licenses/gpl-2.0.en.html +// Free Software Foundation, which can be found in the top level directory of +// the repository (LICENSE_GPL2), and at: // // OR // -// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: -// https://github.com/analogdevicesinc/hdl/blob/dev/LICENSE +// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: +// https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD +// This will allow to generate bit files and not release the source code, +// as long as it attaches to an ADI device. // // *************************************************************************** // *************************************************************************** diff --git a/library/common/ad_dds_1.v b/library/common/ad_dds_1.v index a7d325d33..146ffc4f3 100644 --- a/library/common/ad_dds_1.v +++ b/library/common/ad_dds_1.v @@ -2,21 +2,23 @@ // *************************************************************************** // Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved. // -// Each core or library found in this collection may have its own licensing terms. -// The user should keep this in in mind while exploring these cores. +// This core is distributed in the hope that it will be useful, but WITHOUT ANY +// WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR +// A PARTICULAR PURPOSE. // -// Redistribution and use in source and binary forms, -// with or without modification of this file, are permitted under the terms of either -// (at the option of the user): +// Redistribution and use of source or resulting binaries, with or without modification +// of this file, are permitted under one of the following two license terms: // // 1. The GNU General Public License version 2 as published by the -// Free Software Foundation, which can be found in the top level directory, or at: -// https://www.gnu.org/licenses/old-licenses/gpl-2.0.en.html +// Free Software Foundation, which can be found in the top level directory of +// the repository (LICENSE_GPL2), and at: // // OR // -// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: -// https://github.com/analogdevicesinc/hdl/blob/dev/LICENSE +// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: +// https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD +// This will allow to generate bit files and not release the source code, +// as long as it attaches to an ADI device. // // *************************************************************************** // *************************************************************************** diff --git a/library/common/ad_dds_sine.v b/library/common/ad_dds_sine.v index b79dc8b60..17ee98f00 100644 --- a/library/common/ad_dds_sine.v +++ b/library/common/ad_dds_sine.v @@ -2,21 +2,23 @@ // *************************************************************************** // Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved. // -// Each core or library found in this collection may have its own licensing terms. -// The user should keep this in in mind while exploring these cores. +// This core is distributed in the hope that it will be useful, but WITHOUT ANY +// WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR +// A PARTICULAR PURPOSE. // -// Redistribution and use in source and binary forms, -// with or without modification of this file, are permitted under the terms of either -// (at the option of the user): +// Redistribution and use of source or resulting binaries, with or without modification +// of this file, are permitted under one of the following two license terms: // // 1. The GNU General Public License version 2 as published by the -// Free Software Foundation, which can be found in the top level directory, or at: -// https://www.gnu.org/licenses/old-licenses/gpl-2.0.en.html +// Free Software Foundation, which can be found in the top level directory of +// the repository (LICENSE_GPL2), and at: // // OR // -// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: -// https://github.com/analogdevicesinc/hdl/blob/dev/LICENSE +// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: +// https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD +// This will allow to generate bit files and not release the source code, +// as long as it attaches to an ADI device. // // *************************************************************************** // *************************************************************************** diff --git a/library/common/ad_edge_detect.v b/library/common/ad_edge_detect.v index 0ffd183bd..ad77957d9 100644 --- a/library/common/ad_edge_detect.v +++ b/library/common/ad_edge_detect.v @@ -2,21 +2,23 @@ // *************************************************************************** // Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved. // -// Each core or library found in this collection may have its own licensing terms. -// The user should keep this in in mind while exploring these cores. +// This core is distributed in the hope that it will be useful, but WITHOUT ANY +// WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR +// A PARTICULAR PURPOSE. // -// Redistribution and use in source and binary forms, -// with or without modification of this file, are permitted under the terms of either -// (at the option of the user): +// Redistribution and use of source or resulting binaries, with or without modification +// of this file, are permitted under one of the following two license terms: // // 1. The GNU General Public License version 2 as published by the -// Free Software Foundation, which can be found in the top level directory, or at: -// https://www.gnu.org/licenses/old-licenses/gpl-2.0.en.html +// Free Software Foundation, which can be found in the top level directory of +// the repository (LICENSE_GPL2), and at: // // OR // -// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: -// https://github.com/analogdevicesinc/hdl/blob/dev/LICENSE +// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: +// https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD +// This will allow to generate bit files and not release the source code, +// as long as it attaches to an ADI device. // // *************************************************************************** // *************************************************************************** diff --git a/library/common/ad_g2b.v b/library/common/ad_g2b.v index 7966ec10e..fe3001ea4 100644 --- a/library/common/ad_g2b.v +++ b/library/common/ad_g2b.v @@ -2,21 +2,23 @@ // *************************************************************************** // Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved. // -// Each core or library found in this collection may have its own licensing terms. -// The user should keep this in in mind while exploring these cores. +// This core is distributed in the hope that it will be useful, but WITHOUT ANY +// WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR +// A PARTICULAR PURPOSE. // -// Redistribution and use in source and binary forms, -// with or without modification of this file, are permitted under the terms of either -// (at the option of the user): +// Redistribution and use of source or resulting binaries, with or without modification +// of this file, are permitted under one of the following two license terms: // // 1. The GNU General Public License version 2 as published by the -// Free Software Foundation, which can be found in the top level directory, or at: -// https://www.gnu.org/licenses/old-licenses/gpl-2.0.en.html +// Free Software Foundation, which can be found in the top level directory of +// the repository (LICENSE_GPL2), and at: // // OR // -// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: -// https://github.com/analogdevicesinc/hdl/blob/dev/LICENSE +// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: +// https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD +// This will allow to generate bit files and not release the source code, +// as long as it attaches to an ADI device. // // *************************************************************************** // *************************************************************************** diff --git a/library/common/ad_gt_channel.v b/library/common/ad_gt_channel.v index 2e3694285..1fb8a20d7 100644 --- a/library/common/ad_gt_channel.v +++ b/library/common/ad_gt_channel.v @@ -2,21 +2,23 @@ // *************************************************************************** // Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved. // -// Each core or library found in this collection may have its own licensing terms. -// The user should keep this in in mind while exploring these cores. +// This core is distributed in the hope that it will be useful, but WITHOUT ANY +// WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR +// A PARTICULAR PURPOSE. // -// Redistribution and use in source and binary forms, -// with or without modification of this file, are permitted under the terms of either -// (at the option of the user): +// Redistribution and use of source or resulting binaries, with or without modification +// of this file, are permitted under one of the following two license terms: // // 1. The GNU General Public License version 2 as published by the -// Free Software Foundation, which can be found in the top level directory, or at: -// https://www.gnu.org/licenses/old-licenses/gpl-2.0.en.html +// Free Software Foundation, which can be found in the top level directory of +// the repository (LICENSE_GPL2), and at: // // OR // -// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: -// https://github.com/analogdevicesinc/hdl/blob/dev/LICENSE +// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: +// https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD +// This will allow to generate bit files and not release the source code, +// as long as it attaches to an ADI device. // // *************************************************************************** // *************************************************************************** diff --git a/library/common/ad_gt_channel_1.v b/library/common/ad_gt_channel_1.v index a254d7ada..53be8f10b 100644 --- a/library/common/ad_gt_channel_1.v +++ b/library/common/ad_gt_channel_1.v @@ -2,21 +2,23 @@ // *************************************************************************** // Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved. // -// Each core or library found in this collection may have its own licensing terms. -// The user should keep this in in mind while exploring these cores. +// This core is distributed in the hope that it will be useful, but WITHOUT ANY +// WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR +// A PARTICULAR PURPOSE. // -// Redistribution and use in source and binary forms, -// with or without modification of this file, are permitted under the terms of either -// (at the option of the user): +// Redistribution and use of source or resulting binaries, with or without modification +// of this file, are permitted under one of the following two license terms: // // 1. The GNU General Public License version 2 as published by the -// Free Software Foundation, which can be found in the top level directory, or at: -// https://www.gnu.org/licenses/old-licenses/gpl-2.0.en.html +// Free Software Foundation, which can be found in the top level directory of +// the repository (LICENSE_GPL2), and at: // // OR // -// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: -// https://github.com/analogdevicesinc/hdl/blob/dev/LICENSE +// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: +// https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD +// This will allow to generate bit files and not release the source code, +// as long as it attaches to an ADI device. // // *************************************************************************** // *************************************************************************** diff --git a/library/common/ad_gt_common.v b/library/common/ad_gt_common.v index b3e1e7325..2bfbc233c 100644 --- a/library/common/ad_gt_common.v +++ b/library/common/ad_gt_common.v @@ -2,21 +2,23 @@ // *************************************************************************** // Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved. // -// Each core or library found in this collection may have its own licensing terms. -// The user should keep this in in mind while exploring these cores. +// This core is distributed in the hope that it will be useful, but WITHOUT ANY +// WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR +// A PARTICULAR PURPOSE. // -// Redistribution and use in source and binary forms, -// with or without modification of this file, are permitted under the terms of either -// (at the option of the user): +// Redistribution and use of source or resulting binaries, with or without modification +// of this file, are permitted under one of the following two license terms: // // 1. The GNU General Public License version 2 as published by the -// Free Software Foundation, which can be found in the top level directory, or at: -// https://www.gnu.org/licenses/old-licenses/gpl-2.0.en.html +// Free Software Foundation, which can be found in the top level directory of +// the repository (LICENSE_GPL2), and at: // // OR // -// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: -// https://github.com/analogdevicesinc/hdl/blob/dev/LICENSE +// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: +// https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD +// This will allow to generate bit files and not release the source code, +// as long as it attaches to an ADI device. // // *************************************************************************** // *************************************************************************** diff --git a/library/common/ad_gt_common_1.v b/library/common/ad_gt_common_1.v index a30887ba7..3caf3c0c3 100644 --- a/library/common/ad_gt_common_1.v +++ b/library/common/ad_gt_common_1.v @@ -2,21 +2,23 @@ // *************************************************************************** // Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved. // -// Each core or library found in this collection may have its own licensing terms. -// The user should keep this in in mind while exploring these cores. +// This core is distributed in the hope that it will be useful, but WITHOUT ANY +// WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR +// A PARTICULAR PURPOSE. // -// Redistribution and use in source and binary forms, -// with or without modification of this file, are permitted under the terms of either -// (at the option of the user): +// Redistribution and use of source or resulting binaries, with or without modification +// of this file, are permitted under one of the following two license terms: // // 1. The GNU General Public License version 2 as published by the -// Free Software Foundation, which can be found in the top level directory, or at: -// https://www.gnu.org/licenses/old-licenses/gpl-2.0.en.html +// Free Software Foundation, which can be found in the top level directory of +// the repository (LICENSE_GPL2), and at: // // OR // -// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: -// https://github.com/analogdevicesinc/hdl/blob/dev/LICENSE +// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: +// https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD +// This will allow to generate bit files and not release the source code, +// as long as it attaches to an ADI device. // // *************************************************************************** // *************************************************************************** diff --git a/library/common/ad_gt_es.v b/library/common/ad_gt_es.v index f1c0531db..039fea18a 100644 --- a/library/common/ad_gt_es.v +++ b/library/common/ad_gt_es.v @@ -2,21 +2,23 @@ // *************************************************************************** // Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved. // -// Each core or library found in this collection may have its own licensing terms. -// The user should keep this in in mind while exploring these cores. +// This core is distributed in the hope that it will be useful, but WITHOUT ANY +// WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR +// A PARTICULAR PURPOSE. // -// Redistribution and use in source and binary forms, -// with or without modification of this file, are permitted under the terms of either -// (at the option of the user): +// Redistribution and use of source or resulting binaries, with or without modification +// of this file, are permitted under one of the following two license terms: // // 1. The GNU General Public License version 2 as published by the -// Free Software Foundation, which can be found in the top level directory, or at: -// https://www.gnu.org/licenses/old-licenses/gpl-2.0.en.html +// Free Software Foundation, which can be found in the top level directory of +// the repository (LICENSE_GPL2), and at: // // OR // -// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: -// https://github.com/analogdevicesinc/hdl/blob/dev/LICENSE +// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: +// https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD +// This will allow to generate bit files and not release the source code, +// as long as it attaches to an ADI device. // // *************************************************************************** // *************************************************************************** diff --git a/library/common/ad_gt_es_axi.v b/library/common/ad_gt_es_axi.v index e975193e7..965da3c21 100644 --- a/library/common/ad_gt_es_axi.v +++ b/library/common/ad_gt_es_axi.v @@ -2,21 +2,23 @@ // *************************************************************************** // Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved. // -// Each core or library found in this collection may have its own licensing terms. -// The user should keep this in in mind while exploring these cores. +// This core is distributed in the hope that it will be useful, but WITHOUT ANY +// WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR +// A PARTICULAR PURPOSE. // -// Redistribution and use in source and binary forms, -// with or without modification of this file, are permitted under the terms of either -// (at the option of the user): +// Redistribution and use of source or resulting binaries, with or without modification +// of this file, are permitted under one of the following two license terms: // // 1. The GNU General Public License version 2 as published by the -// Free Software Foundation, which can be found in the top level directory, or at: -// https://www.gnu.org/licenses/old-licenses/gpl-2.0.en.html +// Free Software Foundation, which can be found in the top level directory of +// the repository (LICENSE_GPL2), and at: // // OR // -// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: -// https://github.com/analogdevicesinc/hdl/blob/dev/LICENSE +// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: +// https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD +// This will allow to generate bit files and not release the source code, +// as long as it attaches to an ADI device. // // *************************************************************************** // *************************************************************************** diff --git a/library/common/ad_iqcor.v b/library/common/ad_iqcor.v index c307a42ef..4fa6fce57 100644 --- a/library/common/ad_iqcor.v +++ b/library/common/ad_iqcor.v @@ -2,21 +2,23 @@ // *************************************************************************** // Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved. // -// Each core or library found in this collection may have its own licensing terms. -// The user should keep this in in mind while exploring these cores. +// This core is distributed in the hope that it will be useful, but WITHOUT ANY +// WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR +// A PARTICULAR PURPOSE. // -// Redistribution and use in source and binary forms, -// with or without modification of this file, are permitted under the terms of either -// (at the option of the user): +// Redistribution and use of source or resulting binaries, with or without modification +// of this file, are permitted under one of the following two license terms: // // 1. The GNU General Public License version 2 as published by the -// Free Software Foundation, which can be found in the top level directory, or at: -// https://www.gnu.org/licenses/old-licenses/gpl-2.0.en.html +// Free Software Foundation, which can be found in the top level directory of +// the repository (LICENSE_GPL2), and at: // // OR // -// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: -// https://github.com/analogdevicesinc/hdl/blob/dev/LICENSE +// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: +// https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD +// This will allow to generate bit files and not release the source code, +// as long as it attaches to an ADI device. // // *************************************************************************** // *************************************************************************** diff --git a/library/common/ad_jesd_align.v b/library/common/ad_jesd_align.v index 376ed87a7..7d2fe9543 100644 --- a/library/common/ad_jesd_align.v +++ b/library/common/ad_jesd_align.v @@ -2,21 +2,23 @@ // *************************************************************************** // Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved. // -// Each core or library found in this collection may have its own licensing terms. -// The user should keep this in in mind while exploring these cores. +// This core is distributed in the hope that it will be useful, but WITHOUT ANY +// WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR +// A PARTICULAR PURPOSE. // -// Redistribution and use in source and binary forms, -// with or without modification of this file, are permitted under the terms of either -// (at the option of the user): +// Redistribution and use of source or resulting binaries, with or without modification +// of this file, are permitted under one of the following two license terms: // // 1. The GNU General Public License version 2 as published by the -// Free Software Foundation, which can be found in the top level directory, or at: -// https://www.gnu.org/licenses/old-licenses/gpl-2.0.en.html +// Free Software Foundation, which can be found in the top level directory of +// the repository (LICENSE_GPL2), and at: // // OR // -// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: -// https://github.com/analogdevicesinc/hdl/blob/dev/LICENSE +// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: +// https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD +// This will allow to generate bit files and not release the source code, +// as long as it attaches to an ADI device. // // *************************************************************************** // *************************************************************************** diff --git a/library/common/ad_mem.v b/library/common/ad_mem.v index ec72838ec..64c80e0c0 100644 --- a/library/common/ad_mem.v +++ b/library/common/ad_mem.v @@ -2,21 +2,23 @@ // *************************************************************************** // Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved. // -// Each core or library found in this collection may have its own licensing terms. -// The user should keep this in in mind while exploring these cores. +// This core is distributed in the hope that it will be useful, but WITHOUT ANY +// WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR +// A PARTICULAR PURPOSE. // -// Redistribution and use in source and binary forms, -// with or without modification of this file, are permitted under the terms of either -// (at the option of the user): +// Redistribution and use of source or resulting binaries, with or without modification +// of this file, are permitted under one of the following two license terms: // // 1. The GNU General Public License version 2 as published by the -// Free Software Foundation, which can be found in the top level directory, or at: -// https://www.gnu.org/licenses/old-licenses/gpl-2.0.en.html +// Free Software Foundation, which can be found in the top level directory of +// the repository (LICENSE_GPL2), and at: // // OR // -// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: -// https://github.com/analogdevicesinc/hdl/blob/dev/LICENSE +// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: +// https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD +// This will allow to generate bit files and not release the source code, +// as long as it attaches to an ADI device. // // *************************************************************************** // *************************************************************************** diff --git a/library/common/ad_mem_asym.v b/library/common/ad_mem_asym.v index ac84f8ff6..38902e3ef 100644 --- a/library/common/ad_mem_asym.v +++ b/library/common/ad_mem_asym.v @@ -2,21 +2,23 @@ // *************************************************************************** // Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved. // -// Each core or library found in this collection may have its own licensing terms. -// The user should keep this in in mind while exploring these cores. +// This core is distributed in the hope that it will be useful, but WITHOUT ANY +// WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR +// A PARTICULAR PURPOSE. // -// Redistribution and use in source and binary forms, -// with or without modification of this file, are permitted under the terms of either -// (at the option of the user): +// Redistribution and use of source or resulting binaries, with or without modification +// of this file, are permitted under one of the following two license terms: // // 1. The GNU General Public License version 2 as published by the -// Free Software Foundation, which can be found in the top level directory, or at: -// https://www.gnu.org/licenses/old-licenses/gpl-2.0.en.html +// Free Software Foundation, which can be found in the top level directory of +// the repository (LICENSE_GPL2), and at: // // OR // -// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: -// https://github.com/analogdevicesinc/hdl/blob/dev/LICENSE +// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: +// https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD +// This will allow to generate bit files and not release the source code, +// as long as it attaches to an ADI device. // // *************************************************************************** // *************************************************************************** diff --git a/library/common/ad_pnmon.v b/library/common/ad_pnmon.v index 0295ecd46..e28fab491 100644 --- a/library/common/ad_pnmon.v +++ b/library/common/ad_pnmon.v @@ -2,21 +2,23 @@ // *************************************************************************** // Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved. // -// Each core or library found in this collection may have its own licensing terms. -// The user should keep this in in mind while exploring these cores. +// This core is distributed in the hope that it will be useful, but WITHOUT ANY +// WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR +// A PARTICULAR PURPOSE. // -// Redistribution and use in source and binary forms, -// with or without modification of this file, are permitted under the terms of either -// (at the option of the user): +// Redistribution and use of source or resulting binaries, with or without modification +// of this file, are permitted under one of the following two license terms: // // 1. The GNU General Public License version 2 as published by the -// Free Software Foundation, which can be found in the top level directory, or at: -// https://www.gnu.org/licenses/old-licenses/gpl-2.0.en.html +// Free Software Foundation, which can be found in the top level directory of +// the repository (LICENSE_GPL2), and at: // // OR // -// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: -// https://github.com/analogdevicesinc/hdl/blob/dev/LICENSE +// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: +// https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD +// This will allow to generate bit files and not release the source code, +// as long as it attaches to an ADI device. // // *************************************************************************** // *************************************************************************** diff --git a/library/common/ad_rst.v b/library/common/ad_rst.v index d92604fb4..2b56b6ef9 100644 --- a/library/common/ad_rst.v +++ b/library/common/ad_rst.v @@ -2,21 +2,23 @@ // *************************************************************************** // Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved. // -// Each core or library found in this collection may have its own licensing terms. -// The user should keep this in in mind while exploring these cores. +// This core is distributed in the hope that it will be useful, but WITHOUT ANY +// WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR +// A PARTICULAR PURPOSE. // -// Redistribution and use in source and binary forms, -// with or without modification of this file, are permitted under the terms of either -// (at the option of the user): +// Redistribution and use of source or resulting binaries, with or without modification +// of this file, are permitted under one of the following two license terms: // // 1. The GNU General Public License version 2 as published by the -// Free Software Foundation, which can be found in the top level directory, or at: -// https://www.gnu.org/licenses/old-licenses/gpl-2.0.en.html +// Free Software Foundation, which can be found in the top level directory of +// the repository (LICENSE_GPL2), and at: // // OR // -// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: -// https://github.com/analogdevicesinc/hdl/blob/dev/LICENSE +// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: +// https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD +// This will allow to generate bit files and not release the source code, +// as long as it attaches to an ADI device. // // *************************************************************************** // *************************************************************************** diff --git a/library/common/ad_ss_422to444.v b/library/common/ad_ss_422to444.v index 7bd7b7c56..6b7a2ef61 100644 --- a/library/common/ad_ss_422to444.v +++ b/library/common/ad_ss_422to444.v @@ -2,21 +2,23 @@ // *************************************************************************** // Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved. // -// Each core or library found in this collection may have its own licensing terms. -// The user should keep this in in mind while exploring these cores. +// This core is distributed in the hope that it will be useful, but WITHOUT ANY +// WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR +// A PARTICULAR PURPOSE. // -// Redistribution and use in source and binary forms, -// with or without modification of this file, are permitted under the terms of either -// (at the option of the user): +// Redistribution and use of source or resulting binaries, with or without modification +// of this file, are permitted under one of the following two license terms: // // 1. The GNU General Public License version 2 as published by the -// Free Software Foundation, which can be found in the top level directory, or at: -// https://www.gnu.org/licenses/old-licenses/gpl-2.0.en.html +// Free Software Foundation, which can be found in the top level directory of +// the repository (LICENSE_GPL2), and at: // // OR // -// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: -// https://github.com/analogdevicesinc/hdl/blob/dev/LICENSE +// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: +// https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD +// This will allow to generate bit files and not release the source code, +// as long as it attaches to an ADI device. // // *************************************************************************** // *************************************************************************** diff --git a/library/common/ad_ss_444to422.v b/library/common/ad_ss_444to422.v index 23dc02c3a..44258631f 100644 --- a/library/common/ad_ss_444to422.v +++ b/library/common/ad_ss_444to422.v @@ -2,21 +2,23 @@ // *************************************************************************** // Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved. // -// Each core or library found in this collection may have its own licensing terms. -// The user should keep this in in mind while exploring these cores. +// This core is distributed in the hope that it will be useful, but WITHOUT ANY +// WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR +// A PARTICULAR PURPOSE. // -// Redistribution and use in source and binary forms, -// with or without modification of this file, are permitted under the terms of either -// (at the option of the user): +// Redistribution and use of source or resulting binaries, with or without modification +// of this file, are permitted under one of the following two license terms: // // 1. The GNU General Public License version 2 as published by the -// Free Software Foundation, which can be found in the top level directory, or at: -// https://www.gnu.org/licenses/old-licenses/gpl-2.0.en.html +// Free Software Foundation, which can be found in the top level directory of +// the repository (LICENSE_GPL2), and at: // // OR // -// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: -// https://github.com/analogdevicesinc/hdl/blob/dev/LICENSE +// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: +// https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD +// This will allow to generate bit files and not release the source code, +// as long as it attaches to an ADI device. // // *************************************************************************** // *************************************************************************** diff --git a/library/common/ad_sysref_gen.v b/library/common/ad_sysref_gen.v index fbc47cd86..5eb9460d4 100644 --- a/library/common/ad_sysref_gen.v +++ b/library/common/ad_sysref_gen.v @@ -2,21 +2,23 @@ // *************************************************************************** // Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved. // -// Each core or library found in this collection may have its own licensing terms. -// The user should keep this in in mind while exploring these cores. +// This core is distributed in the hope that it will be useful, but WITHOUT ANY +// WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR +// A PARTICULAR PURPOSE. // -// Redistribution and use in source and binary forms, -// with or without modification of this file, are permitted under the terms of either -// (at the option of the user): +// Redistribution and use of source or resulting binaries, with or without modification +// of this file, are permitted under one of the following two license terms: // // 1. The GNU General Public License version 2 as published by the -// Free Software Foundation, which can be found in the top level directory, or at: -// https://www.gnu.org/licenses/old-licenses/gpl-2.0.en.html +// Free Software Foundation, which can be found in the top level directory of +// the repository (LICENSE_GPL2), and at: // // OR // -// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: -// https://github.com/analogdevicesinc/hdl/blob/dev/LICENSE +// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: +// https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD +// This will allow to generate bit files and not release the source code, +// as long as it attaches to an ADI device. // // *************************************************************************** // *************************************************************************** diff --git a/library/common/ad_tdd_control.v b/library/common/ad_tdd_control.v index 15b1f6ef7..71d0df140 100644 --- a/library/common/ad_tdd_control.v +++ b/library/common/ad_tdd_control.v @@ -2,21 +2,23 @@ // *************************************************************************** // Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved. // -// Each core or library found in this collection may have its own licensing terms. -// The user should keep this in in mind while exploring these cores. +// This core is distributed in the hope that it will be useful, but WITHOUT ANY +// WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR +// A PARTICULAR PURPOSE. // -// Redistribution and use in source and binary forms, -// with or without modification of this file, are permitted under the terms of either -// (at the option of the user): +// Redistribution and use of source or resulting binaries, with or without modification +// of this file, are permitted under one of the following two license terms: // // 1. The GNU General Public License version 2 as published by the -// Free Software Foundation, which can be found in the top level directory, or at: -// https://www.gnu.org/licenses/old-licenses/gpl-2.0.en.html +// Free Software Foundation, which can be found in the top level directory of +// the repository (LICENSE_GPL2), and at: // // OR // -// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: -// https://github.com/analogdevicesinc/hdl/blob/dev/LICENSE +// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: +// https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD +// This will allow to generate bit files and not release the source code, +// as long as it attaches to an ADI device. // // *************************************************************************** // *************************************************************************** diff --git a/library/common/ad_xcvr_rx_if.v b/library/common/ad_xcvr_rx_if.v index f5bfea8bd..b6bb80a1e 100644 --- a/library/common/ad_xcvr_rx_if.v +++ b/library/common/ad_xcvr_rx_if.v @@ -2,21 +2,23 @@ // *************************************************************************** // Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved. // -// Each core or library found in this collection may have its own licensing terms. -// The user should keep this in in mind while exploring these cores. +// This core is distributed in the hope that it will be useful, but WITHOUT ANY +// WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR +// A PARTICULAR PURPOSE. // -// Redistribution and use in source and binary forms, -// with or without modification of this file, are permitted under the terms of either -// (at the option of the user): +// Redistribution and use of source or resulting binaries, with or without modification +// of this file, are permitted under one of the following two license terms: // // 1. The GNU General Public License version 2 as published by the -// Free Software Foundation, which can be found in the top level directory, or at: -// https://www.gnu.org/licenses/old-licenses/gpl-2.0.en.html +// Free Software Foundation, which can be found in the top level directory of +// the repository (LICENSE_GPL2), and at: // // OR // -// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: -// https://github.com/analogdevicesinc/hdl/blob/dev/LICENSE +// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: +// https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD +// This will allow to generate bit files and not release the source code, +// as long as it attaches to an ADI device. // // *************************************************************************** // *************************************************************************** diff --git a/library/common/axi_ctrlif.vhd b/library/common/axi_ctrlif.vhd index fc99bd810..27324b9bd 100644 --- a/library/common/axi_ctrlif.vhd +++ b/library/common/axi_ctrlif.vhd @@ -2,21 +2,23 @@ -- *************************************************************************** -- Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved. -- --- Each core or library found in this collection may have its own licensing terms. --- The user should keep this in in mind while exploring these cores. +-- This core is distributed in the hope that it will be useful, but WITHOUT ANY +-- WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR +-- A PARTICULAR PURPOSE. -- --- Redistribution and use in source and binary forms, --- with or without modification of this file, are permitted under the terms of either --- (at the option of the user): +-- Redistribution and use of source or resulting binaries, with or without modification +-- of this file, are permitted under one of the following two license terms: -- -- 1. The GNU General Public License version 2 as published by the --- Free Software Foundation, which can be found in the top level directory, or at: --- http://www.gnu.org/licenses/old-licenses/gpl-2.0.en.html +-- Free Software Foundation, which can be found in the top level directory of +-- the repository (LICENSE_GPL2), and at: -- -- OR -- --- 2. An ADI specific BSD license as noted in the top level directory, or on-line at: --- http://github.com/analogdevicesinc/hdl/blob/dev/LICENSE +-- 2. An ADI specific BSD license as noted in the top level directory, or on-line at: +-- https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD +-- This will allow to generate bit files and not release the source code, +-- as long as it attaches to an ADI device. -- -- *************************************************************************** -- *************************************************************************** diff --git a/library/common/axi_streaming_dma_rx_fifo.vhd b/library/common/axi_streaming_dma_rx_fifo.vhd index f55fbeb30..1c554900a 100644 --- a/library/common/axi_streaming_dma_rx_fifo.vhd +++ b/library/common/axi_streaming_dma_rx_fifo.vhd @@ -2,21 +2,23 @@ -- *************************************************************************** -- Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved. -- --- Each core or library found in this collection may have its own licensing terms. --- The user should keep this in in mind while exploring these cores. +-- This core is distributed in the hope that it will be useful, but WITHOUT ANY +-- WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR +-- A PARTICULAR PURPOSE. -- --- Redistribution and use in source and binary forms, --- with or without modification of this file, are permitted under the terms of either --- (at the option of the user): +-- Redistribution and use of source or resulting binaries, with or without modification +-- of this file, are permitted under one of the following two license terms: -- -- 1. The GNU General Public License version 2 as published by the --- Free Software Foundation, which can be found in the top level directory, or at: --- http://www.gnu.org/licenses/old-licenses/gpl-2.0.en.html +-- Free Software Foundation, which can be found in the top level directory of +-- the repository (LICENSE_GPL2), and at: -- -- OR -- --- 2. An ADI specific BSD license as noted in the top level directory, or on-line at: --- http://github.com/analogdevicesinc/hdl/blob/dev/LICENSE +-- 2. An ADI specific BSD license as noted in the top level directory, or on-line at: +-- https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD +-- This will allow to generate bit files and not release the source code, +-- as long as it attaches to an ADI device. -- -- *************************************************************************** -- *************************************************************************** diff --git a/library/common/axi_streaming_dma_tx_fifo.vhd b/library/common/axi_streaming_dma_tx_fifo.vhd index 0ecb150da..ccb43858c 100644 --- a/library/common/axi_streaming_dma_tx_fifo.vhd +++ b/library/common/axi_streaming_dma_tx_fifo.vhd @@ -2,21 +2,23 @@ -- *************************************************************************** -- Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved. -- --- Each core or library found in this collection may have its own licensing terms. --- The user should keep this in in mind while exploring these cores. +-- This core is distributed in the hope that it will be useful, but WITHOUT ANY +-- WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR +-- A PARTICULAR PURPOSE. -- --- Redistribution and use in source and binary forms, --- with or without modification of this file, are permitted under the terms of either --- (at the option of the user): +-- Redistribution and use of source or resulting binaries, with or without modification +-- of this file, are permitted under one of the following two license terms: -- -- 1. The GNU General Public License version 2 as published by the --- Free Software Foundation, which can be found in the top level directory, or at: --- http://www.gnu.org/licenses/old-licenses/gpl-2.0.en.html +-- Free Software Foundation, which can be found in the top level directory of +-- the repository (LICENSE_GPL2), and at: -- -- OR -- --- 2. An ADI specific BSD license as noted in the top level directory, or on-line at: --- http://github.com/analogdevicesinc/hdl/blob/dev/LICENSE +-- 2. An ADI specific BSD license as noted in the top level directory, or on-line at: +-- https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD +-- This will allow to generate bit files and not release the source code, +-- as long as it attaches to an ADI device. -- -- *************************************************************************** -- *************************************************************************** diff --git a/library/common/dma_fifo.vhd b/library/common/dma_fifo.vhd index 24ace4d7c..5389b7749 100644 --- a/library/common/dma_fifo.vhd +++ b/library/common/dma_fifo.vhd @@ -2,21 +2,23 @@ -- *************************************************************************** -- Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved. -- --- Each core or library found in this collection may have its own licensing terms. --- The user should keep this in in mind while exploring these cores. +-- This core is distributed in the hope that it will be useful, but WITHOUT ANY +-- WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR +-- A PARTICULAR PURPOSE. -- --- Redistribution and use in source and binary forms, --- with or without modification of this file, are permitted under the terms of either --- (at the option of the user): +-- Redistribution and use of source or resulting binaries, with or without modification +-- of this file, are permitted under one of the following two license terms: -- -- 1. The GNU General Public License version 2 as published by the --- Free Software Foundation, which can be found in the top level directory, or at: --- http://www.gnu.org/licenses/old-licenses/gpl-2.0.en.html +-- Free Software Foundation, which can be found in the top level directory of +-- the repository (LICENSE_GPL2), and at: -- -- OR -- --- 2. An ADI specific BSD license as noted in the top level directory, or on-line at: --- http://github.com/analogdevicesinc/hdl/blob/dev/LICENSE +-- 2. An ADI specific BSD license as noted in the top level directory, or on-line at: +-- https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD +-- This will allow to generate bit files and not release the source code, +-- as long as it attaches to an ADI device. -- -- *************************************************************************** -- *************************************************************************** diff --git a/library/common/pl330_dma_fifo.vhd b/library/common/pl330_dma_fifo.vhd index c7f8a1aa4..bc3714f60 100644 --- a/library/common/pl330_dma_fifo.vhd +++ b/library/common/pl330_dma_fifo.vhd @@ -2,21 +2,23 @@ -- *************************************************************************** -- Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved. -- --- Each core or library found in this collection may have its own licensing terms. --- The user should keep this in in mind while exploring these cores. +-- This core is distributed in the hope that it will be useful, but WITHOUT ANY +-- WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR +-- A PARTICULAR PURPOSE. -- --- Redistribution and use in source and binary forms, --- with or without modification of this file, are permitted under the terms of either --- (at the option of the user): +-- Redistribution and use of source or resulting binaries, with or without modification +-- of this file, are permitted under one of the following two license terms: -- -- 1. The GNU General Public License version 2 as published by the --- Free Software Foundation, which can be found in the top level directory, or at: --- http://www.gnu.org/licenses/old-licenses/gpl-2.0.en.html +-- Free Software Foundation, which can be found in the top level directory of +-- the repository (LICENSE_GPL2), and at: -- -- OR -- --- 2. An ADI specific BSD license as noted in the top level directory, or on-line at: --- http://github.com/analogdevicesinc/hdl/blob/dev/LICENSE +-- 2. An ADI specific BSD license as noted in the top level directory, or on-line at: +-- https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD +-- This will allow to generate bit files and not release the source code, +-- as long as it attaches to an ADI device. -- -- *************************************************************************** -- *************************************************************************** diff --git a/library/common/sync_bits.v b/library/common/sync_bits.v index ac491be46..1c633920c 100644 --- a/library/common/sync_bits.v +++ b/library/common/sync_bits.v @@ -2,21 +2,23 @@ // *************************************************************************** // Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved. // -// Each core or library found in this collection may have its own licensing terms. -// The user should keep this in in mind while exploring these cores. +// This core is distributed in the hope that it will be useful, but WITHOUT ANY +// WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR +// A PARTICULAR PURPOSE. // -// Redistribution and use in source and binary forms, -// with or without modification of this file, are permitted under the terms of either -// (at the option of the user): +// Redistribution and use of source or resulting binaries, with or without modification +// of this file, are permitted under one of the following two license terms: // // 1. The GNU General Public License version 2 as published by the -// Free Software Foundation, which can be found in the top level directory, or at: -// https://www.gnu.org/licenses/old-licenses/gpl-2.0.en.html +// Free Software Foundation, which can be found in the top level directory of +// the repository (LICENSE_GPL2), and at: // // OR // -// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: -// https://github.com/analogdevicesinc/hdl/blob/dev/LICENSE +// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: +// https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD +// This will allow to generate bit files and not release the source code, +// as long as it attaches to an ADI device. // // *************************************************************************** // *************************************************************************** diff --git a/library/common/sync_gray.v b/library/common/sync_gray.v index 711d9a8f0..04515e288 100644 --- a/library/common/sync_gray.v +++ b/library/common/sync_gray.v @@ -2,21 +2,23 @@ // *************************************************************************** // Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved. // -// Each core or library found in this collection may have its own licensing terms. -// The user should keep this in in mind while exploring these cores. +// This core is distributed in the hope that it will be useful, but WITHOUT ANY +// WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR +// A PARTICULAR PURPOSE. // -// Redistribution and use in source and binary forms, -// with or without modification of this file, are permitted under the terms of either -// (at the option of the user): +// Redistribution and use of source or resulting binaries, with or without modification +// of this file, are permitted under one of the following two license terms: // // 1. The GNU General Public License version 2 as published by the -// Free Software Foundation, which can be found in the top level directory, or at: -// https://www.gnu.org/licenses/old-licenses/gpl-2.0.en.html +// Free Software Foundation, which can be found in the top level directory of +// the repository (LICENSE_GPL2), and at: // // OR // -// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: -// https://github.com/analogdevicesinc/hdl/blob/dev/LICENSE +// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: +// https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD +// This will allow to generate bit files and not release the source code, +// as long as it attaches to an ADI device. // // *************************************************************************** // *************************************************************************** diff --git a/library/common/up_adc_channel.v b/library/common/up_adc_channel.v index 7737de609..0ded0429a 100644 --- a/library/common/up_adc_channel.v +++ b/library/common/up_adc_channel.v @@ -2,21 +2,23 @@ // *************************************************************************** // Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved. // -// Each core or library found in this collection may have its own licensing terms. -// The user should keep this in in mind while exploring these cores. +// This core is distributed in the hope that it will be useful, but WITHOUT ANY +// WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR +// A PARTICULAR PURPOSE. // -// Redistribution and use in source and binary forms, -// with or without modification of this file, are permitted under the terms of either -// (at the option of the user): +// Redistribution and use of source or resulting binaries, with or without modification +// of this file, are permitted under one of the following two license terms: // // 1. The GNU General Public License version 2 as published by the -// Free Software Foundation, which can be found in the top level directory, or at: -// https://www.gnu.org/licenses/old-licenses/gpl-2.0.en.html +// Free Software Foundation, which can be found in the top level directory of +// the repository (LICENSE_GPL2), and at: // // OR // -// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: -// https://github.com/analogdevicesinc/hdl/blob/dev/LICENSE +// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: +// https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD +// This will allow to generate bit files and not release the source code, +// as long as it attaches to an ADI device. // // *************************************************************************** // *************************************************************************** diff --git a/library/common/up_adc_common.v b/library/common/up_adc_common.v index 2f344132f..8436354a8 100644 --- a/library/common/up_adc_common.v +++ b/library/common/up_adc_common.v @@ -2,21 +2,23 @@ // *************************************************************************** // Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved. // -// Each core or library found in this collection may have its own licensing terms. -// The user should keep this in in mind while exploring these cores. +// This core is distributed in the hope that it will be useful, but WITHOUT ANY +// WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR +// A PARTICULAR PURPOSE. // -// Redistribution and use in source and binary forms, -// with or without modification of this file, are permitted under the terms of either -// (at the option of the user): +// Redistribution and use of source or resulting binaries, with or without modification +// of this file, are permitted under one of the following two license terms: // // 1. The GNU General Public License version 2 as published by the -// Free Software Foundation, which can be found in the top level directory, or at: -// https://www.gnu.org/licenses/old-licenses/gpl-2.0.en.html +// Free Software Foundation, which can be found in the top level directory of +// the repository (LICENSE_GPL2), and at: // // OR // -// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: -// https://github.com/analogdevicesinc/hdl/blob/dev/LICENSE +// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: +// https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD +// This will allow to generate bit files and not release the source code, +// as long as it attaches to an ADI device. // // *************************************************************************** // *************************************************************************** diff --git a/library/common/up_axi.v b/library/common/up_axi.v index 26f6c8bda..8747dbb64 100644 --- a/library/common/up_axi.v +++ b/library/common/up_axi.v @@ -2,21 +2,23 @@ // *************************************************************************** // Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved. // -// Each core or library found in this collection may have its own licensing terms. -// The user should keep this in in mind while exploring these cores. +// This core is distributed in the hope that it will be useful, but WITHOUT ANY +// WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR +// A PARTICULAR PURPOSE. // -// Redistribution and use in source and binary forms, -// with or without modification of this file, are permitted under the terms of either -// (at the option of the user): +// Redistribution and use of source or resulting binaries, with or without modification +// of this file, are permitted under one of the following two license terms: // // 1. The GNU General Public License version 2 as published by the -// Free Software Foundation, which can be found in the top level directory, or at: -// https://www.gnu.org/licenses/old-licenses/gpl-2.0.en.html +// Free Software Foundation, which can be found in the top level directory of +// the repository (LICENSE_GPL2), and at: // // OR // -// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: -// https://github.com/analogdevicesinc/hdl/blob/dev/LICENSE +// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: +// https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD +// This will allow to generate bit files and not release the source code, +// as long as it attaches to an ADI device. // // *************************************************************************** // *************************************************************************** diff --git a/library/common/up_clkgen.v b/library/common/up_clkgen.v index 82a7db75e..83fff950d 100644 --- a/library/common/up_clkgen.v +++ b/library/common/up_clkgen.v @@ -2,21 +2,23 @@ // *************************************************************************** // Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved. // -// Each core or library found in this collection may have its own licensing terms. -// The user should keep this in in mind while exploring these cores. +// This core is distributed in the hope that it will be useful, but WITHOUT ANY +// WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR +// A PARTICULAR PURPOSE. // -// Redistribution and use in source and binary forms, -// with or without modification of this file, are permitted under the terms of either -// (at the option of the user): +// Redistribution and use of source or resulting binaries, with or without modification +// of this file, are permitted under one of the following two license terms: // // 1. The GNU General Public License version 2 as published by the -// Free Software Foundation, which can be found in the top level directory, or at: -// https://www.gnu.org/licenses/old-licenses/gpl-2.0.en.html +// Free Software Foundation, which can be found in the top level directory of +// the repository (LICENSE_GPL2), and at: // // OR // -// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: -// https://github.com/analogdevicesinc/hdl/blob/dev/LICENSE +// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: +// https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD +// This will allow to generate bit files and not release the source code, +// as long as it attaches to an ADI device. // // *************************************************************************** // *************************************************************************** diff --git a/library/common/up_clock_mon.v b/library/common/up_clock_mon.v index cadffc82f..d2aeb32e0 100644 --- a/library/common/up_clock_mon.v +++ b/library/common/up_clock_mon.v @@ -2,21 +2,23 @@ // *************************************************************************** // Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved. // -// Each core or library found in this collection may have its own licensing terms. -// The user should keep this in in mind while exploring these cores. +// This core is distributed in the hope that it will be useful, but WITHOUT ANY +// WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR +// A PARTICULAR PURPOSE. // -// Redistribution and use in source and binary forms, -// with or without modification of this file, are permitted under the terms of either -// (at the option of the user): +// Redistribution and use of source or resulting binaries, with or without modification +// of this file, are permitted under one of the following two license terms: // // 1. The GNU General Public License version 2 as published by the -// Free Software Foundation, which can be found in the top level directory, or at: -// https://www.gnu.org/licenses/old-licenses/gpl-2.0.en.html +// Free Software Foundation, which can be found in the top level directory of +// the repository (LICENSE_GPL2), and at: // // OR // -// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: -// https://github.com/analogdevicesinc/hdl/blob/dev/LICENSE +// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: +// https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD +// This will allow to generate bit files and not release the source code, +// as long as it attaches to an ADI device. // // *************************************************************************** // *************************************************************************** diff --git a/library/common/up_dac_channel.v b/library/common/up_dac_channel.v index 055c208db..97b689006 100644 --- a/library/common/up_dac_channel.v +++ b/library/common/up_dac_channel.v @@ -2,21 +2,23 @@ // *************************************************************************** // Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved. // -// Each core or library found in this collection may have its own licensing terms. -// The user should keep this in in mind while exploring these cores. +// This core is distributed in the hope that it will be useful, but WITHOUT ANY +// WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR +// A PARTICULAR PURPOSE. // -// Redistribution and use in source and binary forms, -// with or without modification of this file, are permitted under the terms of either -// (at the option of the user): +// Redistribution and use of source or resulting binaries, with or without modification +// of this file, are permitted under one of the following two license terms: // // 1. The GNU General Public License version 2 as published by the -// Free Software Foundation, which can be found in the top level directory, or at: -// https://www.gnu.org/licenses/old-licenses/gpl-2.0.en.html +// Free Software Foundation, which can be found in the top level directory of +// the repository (LICENSE_GPL2), and at: // // OR // -// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: -// https://github.com/analogdevicesinc/hdl/blob/dev/LICENSE +// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: +// https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD +// This will allow to generate bit files and not release the source code, +// as long as it attaches to an ADI device. // // *************************************************************************** // *************************************************************************** diff --git a/library/common/up_dac_common.v b/library/common/up_dac_common.v index 76d263abc..e6b7b8366 100644 --- a/library/common/up_dac_common.v +++ b/library/common/up_dac_common.v @@ -2,21 +2,23 @@ // *************************************************************************** // Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved. // -// Each core or library found in this collection may have its own licensing terms. -// The user should keep this in in mind while exploring these cores. +// This core is distributed in the hope that it will be useful, but WITHOUT ANY +// WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR +// A PARTICULAR PURPOSE. // -// Redistribution and use in source and binary forms, -// with or without modification of this file, are permitted under the terms of either -// (at the option of the user): +// Redistribution and use of source or resulting binaries, with or without modification +// of this file, are permitted under one of the following two license terms: // // 1. The GNU General Public License version 2 as published by the -// Free Software Foundation, which can be found in the top level directory, or at: -// https://www.gnu.org/licenses/old-licenses/gpl-2.0.en.html +// Free Software Foundation, which can be found in the top level directory of +// the repository (LICENSE_GPL2), and at: // // OR // -// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: -// https://github.com/analogdevicesinc/hdl/blob/dev/LICENSE +// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: +// https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD +// This will allow to generate bit files and not release the source code, +// as long as it attaches to an ADI device. // // *************************************************************************** // *************************************************************************** diff --git a/library/common/up_delay_cntrl.v b/library/common/up_delay_cntrl.v index e61afba54..a24cc5ad9 100644 --- a/library/common/up_delay_cntrl.v +++ b/library/common/up_delay_cntrl.v @@ -2,21 +2,23 @@ // *************************************************************************** // Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved. // -// Each core or library found in this collection may have its own licensing terms. -// The user should keep this in in mind while exploring these cores. +// This core is distributed in the hope that it will be useful, but WITHOUT ANY +// WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR +// A PARTICULAR PURPOSE. // -// Redistribution and use in source and binary forms, -// with or without modification of this file, are permitted under the terms of either -// (at the option of the user): +// Redistribution and use of source or resulting binaries, with or without modification +// of this file, are permitted under one of the following two license terms: // // 1. The GNU General Public License version 2 as published by the -// Free Software Foundation, which can be found in the top level directory, or at: -// https://www.gnu.org/licenses/old-licenses/gpl-2.0.en.html +// Free Software Foundation, which can be found in the top level directory of +// the repository (LICENSE_GPL2), and at: // // OR // -// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: -// https://github.com/analogdevicesinc/hdl/blob/dev/LICENSE +// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: +// https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD +// This will allow to generate bit files and not release the source code, +// as long as it attaches to an ADI device. // // *************************************************************************** // *************************************************************************** diff --git a/library/common/up_gt.v b/library/common/up_gt.v index 6c4b8f161..2961fa4d5 100644 --- a/library/common/up_gt.v +++ b/library/common/up_gt.v @@ -2,21 +2,23 @@ // *************************************************************************** // Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved. // -// Each core or library found in this collection may have its own licensing terms. -// The user should keep this in in mind while exploring these cores. +// This core is distributed in the hope that it will be useful, but WITHOUT ANY +// WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR +// A PARTICULAR PURPOSE. // -// Redistribution and use in source and binary forms, -// with or without modification of this file, are permitted under the terms of either -// (at the option of the user): +// Redistribution and use of source or resulting binaries, with or without modification +// of this file, are permitted under one of the following two license terms: // // 1. The GNU General Public License version 2 as published by the -// Free Software Foundation, which can be found in the top level directory, or at: -// https://www.gnu.org/licenses/old-licenses/gpl-2.0.en.html +// Free Software Foundation, which can be found in the top level directory of +// the repository (LICENSE_GPL2), and at: // // OR // -// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: -// https://github.com/analogdevicesinc/hdl/blob/dev/LICENSE +// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: +// https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD +// This will allow to generate bit files and not release the source code, +// as long as it attaches to an ADI device. // // *************************************************************************** // *************************************************************************** diff --git a/library/common/up_gt_channel.v b/library/common/up_gt_channel.v index bada4e3f9..d25be3c96 100644 --- a/library/common/up_gt_channel.v +++ b/library/common/up_gt_channel.v @@ -2,21 +2,23 @@ // *************************************************************************** // Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved. // -// Each core or library found in this collection may have its own licensing terms. -// The user should keep this in in mind while exploring these cores. +// This core is distributed in the hope that it will be useful, but WITHOUT ANY +// WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR +// A PARTICULAR PURPOSE. // -// Redistribution and use in source and binary forms, -// with or without modification of this file, are permitted under the terms of either -// (at the option of the user): +// Redistribution and use of source or resulting binaries, with or without modification +// of this file, are permitted under one of the following two license terms: // // 1. The GNU General Public License version 2 as published by the -// Free Software Foundation, which can be found in the top level directory, or at: -// https://www.gnu.org/licenses/old-licenses/gpl-2.0.en.html +// Free Software Foundation, which can be found in the top level directory of +// the repository (LICENSE_GPL2), and at: // // OR // -// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: -// https://github.com/analogdevicesinc/hdl/blob/dev/LICENSE +// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: +// https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD +// This will allow to generate bit files and not release the source code, +// as long as it attaches to an ADI device. // // *************************************************************************** // *************************************************************************** diff --git a/library/common/up_hdmi_rx.v b/library/common/up_hdmi_rx.v index 740d6c112..46eacdf31 100644 --- a/library/common/up_hdmi_rx.v +++ b/library/common/up_hdmi_rx.v @@ -2,21 +2,23 @@ // *************************************************************************** // Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved. // -// Each core or library found in this collection may have its own licensing terms. -// The user should keep this in in mind while exploring these cores. +// This core is distributed in the hope that it will be useful, but WITHOUT ANY +// WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR +// A PARTICULAR PURPOSE. // -// Redistribution and use in source and binary forms, -// with or without modification of this file, are permitted under the terms of either -// (at the option of the user): +// Redistribution and use of source or resulting binaries, with or without modification +// of this file, are permitted under one of the following two license terms: // // 1. The GNU General Public License version 2 as published by the -// Free Software Foundation, which can be found in the top level directory, or at: -// https://www.gnu.org/licenses/old-licenses/gpl-2.0.en.html +// Free Software Foundation, which can be found in the top level directory of +// the repository (LICENSE_GPL2), and at: // // OR // -// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: -// https://github.com/analogdevicesinc/hdl/blob/dev/LICENSE +// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: +// https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD +// This will allow to generate bit files and not release the source code, +// as long as it attaches to an ADI device. // // *************************************************************************** // *************************************************************************** diff --git a/library/common/up_hdmi_tx.v b/library/common/up_hdmi_tx.v index 642faf006..6b4da94c8 100644 --- a/library/common/up_hdmi_tx.v +++ b/library/common/up_hdmi_tx.v @@ -2,21 +2,23 @@ // *************************************************************************** // Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved. // -// Each core or library found in this collection may have its own licensing terms. -// The user should keep this in in mind while exploring these cores. +// This core is distributed in the hope that it will be useful, but WITHOUT ANY +// WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR +// A PARTICULAR PURPOSE. // -// Redistribution and use in source and binary forms, -// with or without modification of this file, are permitted under the terms of either -// (at the option of the user): +// Redistribution and use of source or resulting binaries, with or without modification +// of this file, are permitted under one of the following two license terms: // // 1. The GNU General Public License version 2 as published by the -// Free Software Foundation, which can be found in the top level directory, or at: -// https://www.gnu.org/licenses/old-licenses/gpl-2.0.en.html +// Free Software Foundation, which can be found in the top level directory of +// the repository (LICENSE_GPL2), and at: // // OR // -// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: -// https://github.com/analogdevicesinc/hdl/blob/dev/LICENSE +// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: +// https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD +// This will allow to generate bit files and not release the source code, +// as long as it attaches to an ADI device. // // *************************************************************************** // *************************************************************************** diff --git a/library/common/up_pmod.v b/library/common/up_pmod.v index 4268a6efb..af469e27e 100644 --- a/library/common/up_pmod.v +++ b/library/common/up_pmod.v @@ -2,21 +2,23 @@ // *************************************************************************** // Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved. // -// Each core or library found in this collection may have its own licensing terms. -// The user should keep this in in mind while exploring these cores. +// This core is distributed in the hope that it will be useful, but WITHOUT ANY +// WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR +// A PARTICULAR PURPOSE. // -// Redistribution and use in source and binary forms, -// with or without modification of this file, are permitted under the terms of either -// (at the option of the user): +// Redistribution and use of source or resulting binaries, with or without modification +// of this file, are permitted under one of the following two license terms: // // 1. The GNU General Public License version 2 as published by the -// Free Software Foundation, which can be found in the top level directory, or at: -// https://www.gnu.org/licenses/old-licenses/gpl-2.0.en.html +// Free Software Foundation, which can be found in the top level directory of +// the repository (LICENSE_GPL2), and at: // // OR // -// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: -// https://github.com/analogdevicesinc/hdl/blob/dev/LICENSE +// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: +// https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD +// This will allow to generate bit files and not release the source code, +// as long as it attaches to an ADI device. // // *************************************************************************** // *************************************************************************** diff --git a/library/common/up_tdd_cntrl.v b/library/common/up_tdd_cntrl.v index cd3159a44..4a51709c6 100644 --- a/library/common/up_tdd_cntrl.v +++ b/library/common/up_tdd_cntrl.v @@ -2,21 +2,23 @@ // *************************************************************************** // Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved. // -// Each core or library found in this collection may have its own licensing terms. -// The user should keep this in in mind while exploring these cores. +// This core is distributed in the hope that it will be useful, but WITHOUT ANY +// WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR +// A PARTICULAR PURPOSE. // -// Redistribution and use in source and binary forms, -// with or without modification of this file, are permitted under the terms of either -// (at the option of the user): +// Redistribution and use of source or resulting binaries, with or without modification +// of this file, are permitted under one of the following two license terms: // // 1. The GNU General Public License version 2 as published by the -// Free Software Foundation, which can be found in the top level directory, or at: -// https://www.gnu.org/licenses/old-licenses/gpl-2.0.en.html +// Free Software Foundation, which can be found in the top level directory of +// the repository (LICENSE_GPL2), and at: // // OR // -// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: -// https://github.com/analogdevicesinc/hdl/blob/dev/LICENSE +// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: +// https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD +// This will allow to generate bit files and not release the source code, +// as long as it attaches to an ADI device. // // *************************************************************************** // *************************************************************************** diff --git a/library/common/up_xfer_cntrl.v b/library/common/up_xfer_cntrl.v index 11a94fc31..a2c5eef92 100644 --- a/library/common/up_xfer_cntrl.v +++ b/library/common/up_xfer_cntrl.v @@ -2,21 +2,23 @@ // *************************************************************************** // Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved. // -// Each core or library found in this collection may have its own licensing terms. -// The user should keep this in in mind while exploring these cores. +// This core is distributed in the hope that it will be useful, but WITHOUT ANY +// WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR +// A PARTICULAR PURPOSE. // -// Redistribution and use in source and binary forms, -// with or without modification of this file, are permitted under the terms of either -// (at the option of the user): +// Redistribution and use of source or resulting binaries, with or without modification +// of this file, are permitted under one of the following two license terms: // // 1. The GNU General Public License version 2 as published by the -// Free Software Foundation, which can be found in the top level directory, or at: -// https://www.gnu.org/licenses/old-licenses/gpl-2.0.en.html +// Free Software Foundation, which can be found in the top level directory of +// the repository (LICENSE_GPL2), and at: // // OR // -// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: -// https://github.com/analogdevicesinc/hdl/blob/dev/LICENSE +// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: +// https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD +// This will allow to generate bit files and not release the source code, +// as long as it attaches to an ADI device. // // *************************************************************************** // *************************************************************************** diff --git a/library/common/up_xfer_status.v b/library/common/up_xfer_status.v index 90176a0b9..a54c9fbf1 100644 --- a/library/common/up_xfer_status.v +++ b/library/common/up_xfer_status.v @@ -2,21 +2,23 @@ // *************************************************************************** // Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved. // -// Each core or library found in this collection may have its own licensing terms. -// The user should keep this in in mind while exploring these cores. +// This core is distributed in the hope that it will be useful, but WITHOUT ANY +// WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR +// A PARTICULAR PURPOSE. // -// Redistribution and use in source and binary forms, -// with or without modification of this file, are permitted under the terms of either -// (at the option of the user): +// Redistribution and use of source or resulting binaries, with or without modification +// of this file, are permitted under one of the following two license terms: // // 1. The GNU General Public License version 2 as published by the -// Free Software Foundation, which can be found in the top level directory, or at: -// https://www.gnu.org/licenses/old-licenses/gpl-2.0.en.html +// Free Software Foundation, which can be found in the top level directory of +// the repository (LICENSE_GPL2), and at: // // OR // -// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: -// https://github.com/analogdevicesinc/hdl/blob/dev/LICENSE +// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: +// https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD +// This will allow to generate bit files and not release the source code, +// as long as it attaches to an ADI device. // // *************************************************************************** // *************************************************************************** diff --git a/library/common/util_dacfifo_bypass.v b/library/common/util_dacfifo_bypass.v index af8b244f8..ba155e555 100644 --- a/library/common/util_dacfifo_bypass.v +++ b/library/common/util_dacfifo_bypass.v @@ -2,21 +2,23 @@ // *************************************************************************** // Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved. // -// Each core or library found in this collection may have its own licensing terms. -// The user should keep this in in mind while exploring these cores. +// This core is distributed in the hope that it will be useful, but WITHOUT ANY +// WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR +// A PARTICULAR PURPOSE. // -// Redistribution and use in source and binary forms, -// with or without modification of this file, are permitted under the terms of either -// (at the option of the user): +// Redistribution and use of source or resulting binaries, with or without modification +// of this file, are permitted under one of the following two license terms: // // 1. The GNU General Public License version 2 as published by the -// Free Software Foundation, which can be found in the top level directory, or at: -// https://www.gnu.org/licenses/old-licenses/gpl-2.0.en.html +// Free Software Foundation, which can be found in the top level directory of +// the repository (LICENSE_GPL2), and at: // // OR // -// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: -// https://github.com/analogdevicesinc/hdl/blob/dev/LICENSE +// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: +// https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD +// This will allow to generate bit files and not release the source code, +// as long as it attaches to an ADI device. // // *************************************************************************** // *************************************************************************** diff --git a/library/common/util_delay.v b/library/common/util_delay.v index 5814dc775..ee2963e4e 100644 --- a/library/common/util_delay.v +++ b/library/common/util_delay.v @@ -1,37 +1,25 @@ // *************************************************************************** // *************************************************************************** -// Copyright 2016(c) Analog Devices, Inc. +// Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved. // -// All rights reserved. +// This core is distributed in the hope that it will be useful, but WITHOUT ANY +// WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR +// A PARTICULAR PURPOSE. // -// Redistribution and use in source and binary forms, with or without modification, -// are permitted provided that the following conditions are met: -// - Redistributions of source code must retain the above copyright -// notice, this list of conditions and the following disclaimer. -// - Redistributions in binary form must reproduce the above copyright -// notice, this list of conditions and the following disclaimer in -// the documentation and/or other materials provided with the -// distribution. -// - Neither the name of Analog Devices, Inc. nor the names of its -// contributors may be used to endorse or promote products derived -// from this software without specific prior written permission. -// - The use of this software may or may not infringe the patent rights -// of one or more patent holders. This license does not release you -// from the requirement that you obtain separate licenses from these -// patent holders to use this software. -// - Use of the software either in source or binary form, must be run -// on or directly connected to an Analog Devices Inc. component. +// Redistribution and use of source or resulting binaries, with or without modification +// of this file, are permitted under one of the following two license terms: // -// THIS SOFTWARE IS PROVIDED BY ANALOG DEVICES "AS IS" AND ANY EXPRESS OR IMPLIED WARRANTIES, -// INCLUDING, BUT NOT LIMITED TO, NON-INFRINGEMENT, MERCHANTABILITY AND FITNESS FOR A -// PARTICULAR PURPOSE ARE DISCLAIMED. +// 1. The GNU General Public License version 2 as published by the +// Free Software Foundation, which can be found in the top level directory of +// the repository (LICENSE_GPL2), and at: +// +// OR +// +// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: +// https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD +// This will allow to generate bit files and not release the source code, +// as long as it attaches to an ADI device. // -// IN NO EVENT SHALL ANALOG DEVICES BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, -// EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, INTELLECTUAL PROPERTY -// RIGHTS, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR -// BUSINESS INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, -// STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF -// THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE. // *************************************************************************** // *************************************************************************** diff --git a/library/common/util_pulse_gen.v b/library/common/util_pulse_gen.v index 734b9524c..0a8d31b55 100644 --- a/library/common/util_pulse_gen.v +++ b/library/common/util_pulse_gen.v @@ -2,21 +2,23 @@ // *************************************************************************** // Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved. // -// Each core or library found in this collection may have its own licensing terms. -// The user should keep this in in mind while exploring these cores. +// This core is distributed in the hope that it will be useful, but WITHOUT ANY +// WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR +// A PARTICULAR PURPOSE. // -// Redistribution and use in source and binary forms, -// with or without modification of this file, are permitted under the terms of either -// (at the option of the user): +// Redistribution and use of source or resulting binaries, with or without modification +// of this file, are permitted under one of the following two license terms: // // 1. The GNU General Public License version 2 as published by the -// Free Software Foundation, which can be found in the top level directory, or at: -// https://www.gnu.org/licenses/old-licenses/gpl-2.0.en.html +// Free Software Foundation, which can be found in the top level directory of +// the repository (LICENSE_GPL2), and at: // // OR // -// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: -// https://github.com/analogdevicesinc/hdl/blob/dev/LICENSE +// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: +// https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD +// This will allow to generate bit files and not release the source code, +// as long as it attaches to an ADI device. // // *************************************************************************** // *************************************************************************** diff --git a/library/cordic_demod/cordic_demod.v b/library/cordic_demod/cordic_demod.v index 7c62ea8d5..d1932a7ea 100644 --- a/library/cordic_demod/cordic_demod.v +++ b/library/cordic_demod/cordic_demod.v @@ -2,21 +2,23 @@ // *************************************************************************** // Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved. // -// Each core or library found in this collection may have its own licensing terms. -// The user should keep this in in mind while exploring these cores. +// This core is distributed in the hope that it will be useful, but WITHOUT ANY +// WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR +// A PARTICULAR PURPOSE. // -// Redistribution and use in source and binary forms, -// with or without modification of this file, are permitted under the terms of either -// (at the option of the user): +// Redistribution and use of source or resulting binaries, with or without modification +// of this file, are permitted under one of the following two license terms: // // 1. The GNU General Public License version 2 as published by the -// Free Software Foundation, which can be found in the top level directory, or at: -// https://www.gnu.org/licenses/old-licenses/gpl-2.0.en.html +// Free Software Foundation, which can be found in the top level directory of +// the repository (LICENSE_GPL2), and at: // // OR // -// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: -// https://github.com/analogdevicesinc/hdl/blob/dev/LICENSE +// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: +// https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD +// This will allow to generate bit files and not release the source code, +// as long as it attaches to an ADI device. // // *************************************************************************** // *************************************************************************** diff --git a/library/prcfg/bist/prcfg_adc.v b/library/prcfg/bist/prcfg_adc.v index f0afa0a38..b5c0c9bca 100644 --- a/library/prcfg/bist/prcfg_adc.v +++ b/library/prcfg/bist/prcfg_adc.v @@ -2,21 +2,23 @@ // *************************************************************************** // Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved. // -// Each core or library found in this collection may have its own licensing terms. -// The user should keep this in in mind while exploring these cores. +// This core is distributed in the hope that it will be useful, but WITHOUT ANY +// WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR +// A PARTICULAR PURPOSE. // -// Redistribution and use in source and binary forms, -// with or without modification of this file, are permitted under the terms of either -// (at the option of the user): +// Redistribution and use of source or resulting binaries, with or without modification +// of this file, are permitted under one of the following two license terms: // // 1. The GNU General Public License version 2 as published by the -// Free Software Foundation, which can be found in the top level directory, or at: -// https://www.gnu.org/licenses/old-licenses/gpl-2.0.en.html +// Free Software Foundation, which can be found in the top level directory of +// the repository (LICENSE_GPL2), and at: // // OR // -// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: -// https://github.com/analogdevicesinc/hdl/blob/dev/LICENSE +// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: +// https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD +// This will allow to generate bit files and not release the source code, +// as long as it attaches to an ADI device. // // *************************************************************************** // *************************************************************************** diff --git a/library/prcfg/bist/prcfg_dac.v b/library/prcfg/bist/prcfg_dac.v index 33a30d788..68d95b129 100644 --- a/library/prcfg/bist/prcfg_dac.v +++ b/library/prcfg/bist/prcfg_dac.v @@ -2,21 +2,23 @@ // *************************************************************************** // Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved. // -// Each core or library found in this collection may have its own licensing terms. -// The user should keep this in in mind while exploring these cores. +// This core is distributed in the hope that it will be useful, but WITHOUT ANY +// WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR +// A PARTICULAR PURPOSE. // -// Redistribution and use in source and binary forms, -// with or without modification of this file, are permitted under the terms of either -// (at the option of the user): +// Redistribution and use of source or resulting binaries, with or without modification +// of this file, are permitted under one of the following two license terms: // // 1. The GNU General Public License version 2 as published by the -// Free Software Foundation, which can be found in the top level directory, or at: -// https://www.gnu.org/licenses/old-licenses/gpl-2.0.en.html +// Free Software Foundation, which can be found in the top level directory of +// the repository (LICENSE_GPL2), and at: // // OR // -// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: -// https://github.com/analogdevicesinc/hdl/blob/dev/LICENSE +// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: +// https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD +// This will allow to generate bit files and not release the source code, +// as long as it attaches to an ADI device. // // *************************************************************************** // *************************************************************************** diff --git a/library/prcfg/common/prcfg_top.v b/library/prcfg/common/prcfg_top.v index c92d8e36f..e71cbf6dd 100644 --- a/library/prcfg/common/prcfg_top.v +++ b/library/prcfg/common/prcfg_top.v @@ -2,21 +2,23 @@ // *************************************************************************** // Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved. // -// Each core or library found in this collection may have its own licensing terms. -// The user should keep this in in mind while exploring these cores. +// This core is distributed in the hope that it will be useful, but WITHOUT ANY +// WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR +// A PARTICULAR PURPOSE. // -// Redistribution and use in source and binary forms, -// with or without modification of this file, are permitted under the terms of either -// (at the option of the user): +// Redistribution and use of source or resulting binaries, with or without modification +// of this file, are permitted under one of the following two license terms: // // 1. The GNU General Public License version 2 as published by the -// Free Software Foundation, which can be found in the top level directory, or at: -// https://www.gnu.org/licenses/old-licenses/gpl-2.0.en.html +// Free Software Foundation, which can be found in the top level directory of +// the repository (LICENSE_GPL2), and at: // // OR // -// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: -// https://github.com/analogdevicesinc/hdl/blob/dev/LICENSE +// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: +// https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD +// This will allow to generate bit files and not release the source code, +// as long as it attaches to an ADI device. // // *************************************************************************** // *************************************************************************** diff --git a/library/prcfg/default/prcfg_adc.v b/library/prcfg/default/prcfg_adc.v index 604f82948..73e44335c 100644 --- a/library/prcfg/default/prcfg_adc.v +++ b/library/prcfg/default/prcfg_adc.v @@ -2,21 +2,23 @@ // *************************************************************************** // Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved. // -// Each core or library found in this collection may have its own licensing terms. -// The user should keep this in in mind while exploring these cores. +// This core is distributed in the hope that it will be useful, but WITHOUT ANY +// WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR +// A PARTICULAR PURPOSE. // -// Redistribution and use in source and binary forms, -// with or without modification of this file, are permitted under the terms of either -// (at the option of the user): +// Redistribution and use of source or resulting binaries, with or without modification +// of this file, are permitted under one of the following two license terms: // // 1. The GNU General Public License version 2 as published by the -// Free Software Foundation, which can be found in the top level directory, or at: -// https://www.gnu.org/licenses/old-licenses/gpl-2.0.en.html +// Free Software Foundation, which can be found in the top level directory of +// the repository (LICENSE_GPL2), and at: // // OR // -// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: -// https://github.com/analogdevicesinc/hdl/blob/dev/LICENSE +// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: +// https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD +// This will allow to generate bit files and not release the source code, +// as long as it attaches to an ADI device. // // *************************************************************************** // *************************************************************************** diff --git a/library/prcfg/default/prcfg_dac.v b/library/prcfg/default/prcfg_dac.v index f5e1d708f..3c506ea00 100644 --- a/library/prcfg/default/prcfg_dac.v +++ b/library/prcfg/default/prcfg_dac.v @@ -2,21 +2,23 @@ // *************************************************************************** // Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved. // -// Each core or library found in this collection may have its own licensing terms. -// The user should keep this in in mind while exploring these cores. +// This core is distributed in the hope that it will be useful, but WITHOUT ANY +// WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR +// A PARTICULAR PURPOSE. // -// Redistribution and use in source and binary forms, -// with or without modification of this file, are permitted under the terms of either -// (at the option of the user): +// Redistribution and use of source or resulting binaries, with or without modification +// of this file, are permitted under one of the following two license terms: // // 1. The GNU General Public License version 2 as published by the -// Free Software Foundation, which can be found in the top level directory, or at: -// https://www.gnu.org/licenses/old-licenses/gpl-2.0.en.html +// Free Software Foundation, which can be found in the top level directory of +// the repository (LICENSE_GPL2), and at: // // OR // -// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: -// https://github.com/analogdevicesinc/hdl/blob/dev/LICENSE +// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: +// https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD +// This will allow to generate bit files and not release the source code, +// as long as it attaches to an ADI device. // // *************************************************************************** // *************************************************************************** diff --git a/library/prcfg/qpsk/prcfg_adc.v b/library/prcfg/qpsk/prcfg_adc.v index b678635f5..ef2fa4b99 100644 --- a/library/prcfg/qpsk/prcfg_adc.v +++ b/library/prcfg/qpsk/prcfg_adc.v @@ -2,21 +2,23 @@ // *************************************************************************** // Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved. // -// Each core or library found in this collection may have its own licensing terms. -// The user should keep this in in mind while exploring these cores. +// This core is distributed in the hope that it will be useful, but WITHOUT ANY +// WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR +// A PARTICULAR PURPOSE. // -// Redistribution and use in source and binary forms, -// with or without modification of this file, are permitted under the terms of either -// (at the option of the user): +// Redistribution and use of source or resulting binaries, with or without modification +// of this file, are permitted under one of the following two license terms: // // 1. The GNU General Public License version 2 as published by the -// Free Software Foundation, which can be found in the top level directory, or at: -// https://www.gnu.org/licenses/old-licenses/gpl-2.0.en.html +// Free Software Foundation, which can be found in the top level directory of +// the repository (LICENSE_GPL2), and at: // // OR // -// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: -// https://github.com/analogdevicesinc/hdl/blob/dev/LICENSE +// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: +// https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD +// This will allow to generate bit files and not release the source code, +// as long as it attaches to an ADI device. // // *************************************************************************** // *************************************************************************** diff --git a/library/prcfg/qpsk/prcfg_dac.v b/library/prcfg/qpsk/prcfg_dac.v index ab5c212eb..5c4bb6c6b 100644 --- a/library/prcfg/qpsk/prcfg_dac.v +++ b/library/prcfg/qpsk/prcfg_dac.v @@ -2,21 +2,23 @@ // *************************************************************************** // Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved. // -// Each core or library found in this collection may have its own licensing terms. -// The user should keep this in in mind while exploring these cores. +// This core is distributed in the hope that it will be useful, but WITHOUT ANY +// WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR +// A PARTICULAR PURPOSE. // -// Redistribution and use in source and binary forms, -// with or without modification of this file, are permitted under the terms of either -// (at the option of the user): +// Redistribution and use of source or resulting binaries, with or without modification +// of this file, are permitted under one of the following two license terms: // // 1. The GNU General Public License version 2 as published by the -// Free Software Foundation, which can be found in the top level directory, or at: -// https://www.gnu.org/licenses/old-licenses/gpl-2.0.en.html +// Free Software Foundation, which can be found in the top level directory of +// the repository (LICENSE_GPL2), and at: // // OR // -// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: -// https://github.com/analogdevicesinc/hdl/blob/dev/LICENSE +// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: +// https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD +// This will allow to generate bit files and not release the source code, +// as long as it attaches to an ADI device. // // *************************************************************************** // *************************************************************************** diff --git a/library/prcfg/qpsk/qpsk_demod.v b/library/prcfg/qpsk/qpsk_demod.v index e3d6740b4..1d91bd216 100644 --- a/library/prcfg/qpsk/qpsk_demod.v +++ b/library/prcfg/qpsk/qpsk_demod.v @@ -2,21 +2,23 @@ // *************************************************************************** // Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved. // -// Each core or library found in this collection may have its own licensing terms. -// The user should keep this in in mind while exploring these cores. +// This core is distributed in the hope that it will be useful, but WITHOUT ANY +// WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR +// A PARTICULAR PURPOSE. // -// Redistribution and use in source and binary forms, -// with or without modification of this file, are permitted under the terms of either -// (at the option of the user): +// Redistribution and use of source or resulting binaries, with or without modification +// of this file, are permitted under one of the following two license terms: // // 1. The GNU General Public License version 2 as published by the -// Free Software Foundation, which can be found in the top level directory, or at: -// https://www.gnu.org/licenses/old-licenses/gpl-2.0.en.html +// Free Software Foundation, which can be found in the top level directory of +// the repository (LICENSE_GPL2), and at: // // OR // -// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: -// https://github.com/analogdevicesinc/hdl/blob/dev/LICENSE +// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: +// https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD +// This will allow to generate bit files and not release the source code, +// as long as it attaches to an ADI device. // // *************************************************************************** // *************************************************************************** diff --git a/library/prcfg/qpsk/qpsk_mod.v b/library/prcfg/qpsk/qpsk_mod.v index 1721f1702..f513dd5bb 100644 --- a/library/prcfg/qpsk/qpsk_mod.v +++ b/library/prcfg/qpsk/qpsk_mod.v @@ -2,21 +2,23 @@ // *************************************************************************** // Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved. // -// Each core or library found in this collection may have its own licensing terms. -// The user should keep this in in mind while exploring these cores. +// This core is distributed in the hope that it will be useful, but WITHOUT ANY +// WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR +// A PARTICULAR PURPOSE. // -// Redistribution and use in source and binary forms, -// with or without modification of this file, are permitted under the terms of either -// (at the option of the user): +// Redistribution and use of source or resulting binaries, with or without modification +// of this file, are permitted under one of the following two license terms: // // 1. The GNU General Public License version 2 as published by the -// Free Software Foundation, which can be found in the top level directory, or at: -// https://www.gnu.org/licenses/old-licenses/gpl-2.0.en.html +// Free Software Foundation, which can be found in the top level directory of +// the repository (LICENSE_GPL2), and at: // // OR // -// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: -// https://github.com/analogdevicesinc/hdl/blob/dev/LICENSE +// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: +// https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD +// This will allow to generate bit files and not release the source code, +// as long as it attaches to an ADI device. // // *************************************************************************** // *************************************************************************** diff --git a/library/spi_engine/axi_spi_engine/axi_spi_engine.v b/library/spi_engine/axi_spi_engine/axi_spi_engine.v index 229a46e8e..6fdf92f3b 100644 --- a/library/spi_engine/axi_spi_engine/axi_spi_engine.v +++ b/library/spi_engine/axi_spi_engine/axi_spi_engine.v @@ -2,21 +2,23 @@ // *************************************************************************** // Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved. // -// Each core or library found in this collection may have its own licensing terms. -// The user should keep this in in mind while exploring these cores. +// This core is distributed in the hope that it will be useful, but WITHOUT ANY +// WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR +// A PARTICULAR PURPOSE. // -// Redistribution and use in source and binary forms, -// with or without modification of this file, are permitted under the terms of either -// (at the option of the user): +// Redistribution and use of source or resulting binaries, with or without modification +// of this file, are permitted under one of the following two license terms: // // 1. The GNU General Public License version 2 as published by the -// Free Software Foundation, which can be found in the top level directory, or at: -// https://www.gnu.org/licenses/old-licenses/gpl-2.0.en.html +// Free Software Foundation, which can be found in the top level directory of +// the repository (LICENSE_GPL2), and at: // // OR // -// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: -// https://github.com/analogdevicesinc/hdl/blob/dev/LICENSE +// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: +// https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD +// This will allow to generate bit files and not release the source code, +// as long as it attaches to an ADI device. // // *************************************************************************** // *************************************************************************** diff --git a/library/spi_engine/spi_engine_execution/spi_engine_execution.v b/library/spi_engine/spi_engine_execution/spi_engine_execution.v index 40b0e0811..bbe30c3f3 100644 --- a/library/spi_engine/spi_engine_execution/spi_engine_execution.v +++ b/library/spi_engine/spi_engine_execution/spi_engine_execution.v @@ -2,21 +2,23 @@ // *************************************************************************** // Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved. // -// Each core or library found in this collection may have its own licensing terms. -// The user should keep this in in mind while exploring these cores. +// This core is distributed in the hope that it will be useful, but WITHOUT ANY +// WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR +// A PARTICULAR PURPOSE. // -// Redistribution and use in source and binary forms, -// with or without modification of this file, are permitted under the terms of either -// (at the option of the user): +// Redistribution and use of source or resulting binaries, with or without modification +// of this file, are permitted under one of the following two license terms: // // 1. The GNU General Public License version 2 as published by the -// Free Software Foundation, which can be found in the top level directory, or at: -// https://www.gnu.org/licenses/old-licenses/gpl-2.0.en.html +// Free Software Foundation, which can be found in the top level directory of +// the repository (LICENSE_GPL2), and at: // // OR // -// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: -// https://github.com/analogdevicesinc/hdl/blob/dev/LICENSE +// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: +// https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD +// This will allow to generate bit files and not release the source code, +// as long as it attaches to an ADI device. // // *************************************************************************** // *************************************************************************** diff --git a/library/spi_engine/spi_engine_interconnect/spi_engine_interconnect.v b/library/spi_engine/spi_engine_interconnect/spi_engine_interconnect.v index 5f22ce80d..b5fd1faa2 100644 --- a/library/spi_engine/spi_engine_interconnect/spi_engine_interconnect.v +++ b/library/spi_engine/spi_engine_interconnect/spi_engine_interconnect.v @@ -2,21 +2,23 @@ // *************************************************************************** // Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved. // -// Each core or library found in this collection may have its own licensing terms. -// The user should keep this in in mind while exploring these cores. +// This core is distributed in the hope that it will be useful, but WITHOUT ANY +// WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR +// A PARTICULAR PURPOSE. // -// Redistribution and use in source and binary forms, -// with or without modification of this file, are permitted under the terms of either -// (at the option of the user): +// Redistribution and use of source or resulting binaries, with or without modification +// of this file, are permitted under one of the following two license terms: // // 1. The GNU General Public License version 2 as published by the -// Free Software Foundation, which can be found in the top level directory, or at: -// https://www.gnu.org/licenses/old-licenses/gpl-2.0.en.html +// Free Software Foundation, which can be found in the top level directory of +// the repository (LICENSE_GPL2), and at: // // OR // -// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: -// https://github.com/analogdevicesinc/hdl/blob/dev/LICENSE +// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: +// https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD +// This will allow to generate bit files and not release the source code, +// as long as it attaches to an ADI device. // // *************************************************************************** // *************************************************************************** diff --git a/library/spi_engine/spi_engine_offload/spi_engine_offload.v b/library/spi_engine/spi_engine_offload/spi_engine_offload.v index 13f53429e..f9b336942 100644 --- a/library/spi_engine/spi_engine_offload/spi_engine_offload.v +++ b/library/spi_engine/spi_engine_offload/spi_engine_offload.v @@ -2,21 +2,23 @@ // *************************************************************************** // Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved. // -// Each core or library found in this collection may have its own licensing terms. -// The user should keep this in in mind while exploring these cores. +// This core is distributed in the hope that it will be useful, but WITHOUT ANY +// WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR +// A PARTICULAR PURPOSE. // -// Redistribution and use in source and binary forms, -// with or without modification of this file, are permitted under the terms of either -// (at the option of the user): +// Redistribution and use of source or resulting binaries, with or without modification +// of this file, are permitted under one of the following two license terms: // // 1. The GNU General Public License version 2 as published by the -// Free Software Foundation, which can be found in the top level directory, or at: -// https://www.gnu.org/licenses/old-licenses/gpl-2.0.en.html +// Free Software Foundation, which can be found in the top level directory of +// the repository (LICENSE_GPL2), and at: // // OR // -// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: -// https://github.com/analogdevicesinc/hdl/blob/dev/LICENSE +// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: +// https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD +// This will allow to generate bit files and not release the source code, +// as long as it attaches to an ADI device. // // *************************************************************************** // *************************************************************************** diff --git a/library/util_adcfifo/util_adcfifo.v b/library/util_adcfifo/util_adcfifo.v index b35f47aa9..96dc25940 100644 --- a/library/util_adcfifo/util_adcfifo.v +++ b/library/util_adcfifo/util_adcfifo.v @@ -2,21 +2,23 @@ // *************************************************************************** // Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved. // -// Each core or library found in this collection may have its own licensing terms. -// The user should keep this in in mind while exploring these cores. +// This core is distributed in the hope that it will be useful, but WITHOUT ANY +// WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR +// A PARTICULAR PURPOSE. // -// Redistribution and use in source and binary forms, -// with or without modification of this file, are permitted under the terms of either -// (at the option of the user): +// Redistribution and use of source or resulting binaries, with or without modification +// of this file, are permitted under one of the following two license terms: // // 1. The GNU General Public License version 2 as published by the -// Free Software Foundation, which can be found in the top level directory, or at: -// https://www.gnu.org/licenses/old-licenses/gpl-2.0.en.html +// Free Software Foundation, which can be found in the top level directory of +// the repository (LICENSE_GPL2), and at: // // OR // -// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: -// https://github.com/analogdevicesinc/hdl/blob/dev/LICENSE +// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: +// https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD +// This will allow to generate bit files and not release the source code, +// as long as it attaches to an ADI device. // // *************************************************************************** // *************************************************************************** diff --git a/library/util_axis_fifo/address_gray.v b/library/util_axis_fifo/address_gray.v index c4583b2fc..8a4643c3c 100644 --- a/library/util_axis_fifo/address_gray.v +++ b/library/util_axis_fifo/address_gray.v @@ -2,21 +2,23 @@ // *************************************************************************** // Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved. // -// Each core or library found in this collection may have its own licensing terms. -// The user should keep this in in mind while exploring these cores. +// This core is distributed in the hope that it will be useful, but WITHOUT ANY +// WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR +// A PARTICULAR PURPOSE. // -// Redistribution and use in source and binary forms, -// with or without modification of this file, are permitted under the terms of either -// (at the option of the user): +// Redistribution and use of source or resulting binaries, with or without modification +// of this file, are permitted under one of the following two license terms: // // 1. The GNU General Public License version 2 as published by the -// Free Software Foundation, which can be found in the top level directory, or at: -// https://www.gnu.org/licenses/old-licenses/gpl-2.0.en.html +// Free Software Foundation, which can be found in the top level directory of +// the repository (LICENSE_GPL2), and at: // // OR // -// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: -// https://github.com/analogdevicesinc/hdl/blob/dev/LICENSE +// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: +// https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD +// This will allow to generate bit files and not release the source code, +// as long as it attaches to an ADI device. // // *************************************************************************** // *************************************************************************** diff --git a/library/util_axis_fifo/address_gray_pipelined.v b/library/util_axis_fifo/address_gray_pipelined.v index cc3cba315..a3d297f10 100644 --- a/library/util_axis_fifo/address_gray_pipelined.v +++ b/library/util_axis_fifo/address_gray_pipelined.v @@ -2,21 +2,23 @@ // *************************************************************************** // Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved. // -// Each core or library found in this collection may have its own licensing terms. -// The user should keep this in in mind while exploring these cores. +// This core is distributed in the hope that it will be useful, but WITHOUT ANY +// WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR +// A PARTICULAR PURPOSE. // -// Redistribution and use in source and binary forms, -// with or without modification of this file, are permitted under the terms of either -// (at the option of the user): +// Redistribution and use of source or resulting binaries, with or without modification +// of this file, are permitted under one of the following two license terms: // // 1. The GNU General Public License version 2 as published by the -// Free Software Foundation, which can be found in the top level directory, or at: -// https://www.gnu.org/licenses/old-licenses/gpl-2.0.en.html +// Free Software Foundation, which can be found in the top level directory of +// the repository (LICENSE_GPL2), and at: // // OR // -// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: -// https://github.com/analogdevicesinc/hdl/blob/dev/LICENSE +// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: +// https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD +// This will allow to generate bit files and not release the source code, +// as long as it attaches to an ADI device. // // *************************************************************************** // *************************************************************************** diff --git a/library/util_axis_fifo/address_sync.v b/library/util_axis_fifo/address_sync.v index 68026674b..992eecd33 100644 --- a/library/util_axis_fifo/address_sync.v +++ b/library/util_axis_fifo/address_sync.v @@ -2,21 +2,23 @@ // *************************************************************************** // Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved. // -// Each core or library found in this collection may have its own licensing terms. -// The user should keep this in in mind while exploring these cores. +// This core is distributed in the hope that it will be useful, but WITHOUT ANY +// WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR +// A PARTICULAR PURPOSE. // -// Redistribution and use in source and binary forms, -// with or without modification of this file, are permitted under the terms of either -// (at the option of the user): +// Redistribution and use of source or resulting binaries, with or without modification +// of this file, are permitted under one of the following two license terms: // // 1. The GNU General Public License version 2 as published by the -// Free Software Foundation, which can be found in the top level directory, or at: -// https://www.gnu.org/licenses/old-licenses/gpl-2.0.en.html +// Free Software Foundation, which can be found in the top level directory of +// the repository (LICENSE_GPL2), and at: // // OR // -// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: -// https://github.com/analogdevicesinc/hdl/blob/dev/LICENSE +// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: +// https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD +// This will allow to generate bit files and not release the source code, +// as long as it attaches to an ADI device. // // *************************************************************************** // *************************************************************************** diff --git a/library/util_axis_fifo/util_axis_fifo.v b/library/util_axis_fifo/util_axis_fifo.v index 92e9dbfd8..77ab993db 100644 --- a/library/util_axis_fifo/util_axis_fifo.v +++ b/library/util_axis_fifo/util_axis_fifo.v @@ -2,21 +2,23 @@ // *************************************************************************** // Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved. // -// Each core or library found in this collection may have its own licensing terms. -// The user should keep this in in mind while exploring these cores. +// This core is distributed in the hope that it will be useful, but WITHOUT ANY +// WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR +// A PARTICULAR PURPOSE. // -// Redistribution and use in source and binary forms, -// with or without modification of this file, are permitted under the terms of either -// (at the option of the user): +// Redistribution and use of source or resulting binaries, with or without modification +// of this file, are permitted under one of the following two license terms: // // 1. The GNU General Public License version 2 as published by the -// Free Software Foundation, which can be found in the top level directory, or at: -// https://www.gnu.org/licenses/old-licenses/gpl-2.0.en.html +// Free Software Foundation, which can be found in the top level directory of +// the repository (LICENSE_GPL2), and at: // // OR // -// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: -// https://github.com/analogdevicesinc/hdl/blob/dev/LICENSE +// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: +// https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD +// This will allow to generate bit files and not release the source code, +// as long as it attaches to an ADI device. // // *************************************************************************** // *************************************************************************** diff --git a/library/util_axis_resize/util_axis_resize.v b/library/util_axis_resize/util_axis_resize.v index 9c9bbd881..dca788790 100644 --- a/library/util_axis_resize/util_axis_resize.v +++ b/library/util_axis_resize/util_axis_resize.v @@ -2,21 +2,23 @@ // *************************************************************************** // Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved. // -// Each core or library found in this collection may have its own licensing terms. -// The user should keep this in in mind while exploring these cores. +// This core is distributed in the hope that it will be useful, but WITHOUT ANY +// WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR +// A PARTICULAR PURPOSE. // -// Redistribution and use in source and binary forms, -// with or without modification of this file, are permitted under the terms of either -// (at the option of the user): +// Redistribution and use of source or resulting binaries, with or without modification +// of this file, are permitted under one of the following two license terms: // // 1. The GNU General Public License version 2 as published by the -// Free Software Foundation, which can be found in the top level directory, or at: -// https://www.gnu.org/licenses/old-licenses/gpl-2.0.en.html +// Free Software Foundation, which can be found in the top level directory of +// the repository (LICENSE_GPL2), and at: // // OR // -// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: -// https://github.com/analogdevicesinc/hdl/blob/dev/LICENSE +// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: +// https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD +// This will allow to generate bit files and not release the source code, +// as long as it attaches to an ADI device. // // *************************************************************************** // *************************************************************************** diff --git a/library/util_bsplit/util_bsplit.v b/library/util_bsplit/util_bsplit.v index 2cd8b8c2a..a7995ac53 100755 --- a/library/util_bsplit/util_bsplit.v +++ b/library/util_bsplit/util_bsplit.v @@ -2,21 +2,23 @@ // *************************************************************************** // Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved. // -// Each core or library found in this collection may have its own licensing terms. -// The user should keep this in in mind while exploring these cores. +// This core is distributed in the hope that it will be useful, but WITHOUT ANY +// WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR +// A PARTICULAR PURPOSE. // -// Redistribution and use in source and binary forms, -// with or without modification of this file, are permitted under the terms of either -// (at the option of the user): +// Redistribution and use of source or resulting binaries, with or without modification +// of this file, are permitted under one of the following two license terms: // // 1. The GNU General Public License version 2 as published by the -// Free Software Foundation, which can be found in the top level directory, or at: -// https://www.gnu.org/licenses/old-licenses/gpl-2.0.en.html +// Free Software Foundation, which can be found in the top level directory of +// the repository (LICENSE_GPL2), and at: // // OR // -// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: -// https://github.com/analogdevicesinc/hdl/blob/dev/LICENSE +// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: +// https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD +// This will allow to generate bit files and not release the source code, +// as long as it attaches to an ADI device. // // *************************************************************************** // *************************************************************************** diff --git a/library/util_ccat/util_ccat.v b/library/util_ccat/util_ccat.v index 98547c774..9fe1fabe5 100755 --- a/library/util_ccat/util_ccat.v +++ b/library/util_ccat/util_ccat.v @@ -2,21 +2,23 @@ // *************************************************************************** // Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved. // -// Each core or library found in this collection may have its own licensing terms. -// The user should keep this in in mind while exploring these cores. +// This core is distributed in the hope that it will be useful, but WITHOUT ANY +// WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR +// A PARTICULAR PURPOSE. // -// Redistribution and use in source and binary forms, -// with or without modification of this file, are permitted under the terms of either -// (at the option of the user): +// Redistribution and use of source or resulting binaries, with or without modification +// of this file, are permitted under one of the following two license terms: // // 1. The GNU General Public License version 2 as published by the -// Free Software Foundation, which can be found in the top level directory, or at: -// https://www.gnu.org/licenses/old-licenses/gpl-2.0.en.html +// Free Software Foundation, which can be found in the top level directory of +// the repository (LICENSE_GPL2), and at: // // OR // -// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: -// https://github.com/analogdevicesinc/hdl/blob/dev/LICENSE +// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: +// https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD +// This will allow to generate bit files and not release the source code, +// as long as it attaches to an ADI device. // // *************************************************************************** // *************************************************************************** diff --git a/library/util_cic/cic_comb.v b/library/util_cic/cic_comb.v index 8a3ebb93c..b4de98eab 100644 --- a/library/util_cic/cic_comb.v +++ b/library/util_cic/cic_comb.v @@ -2,21 +2,23 @@ // *************************************************************************** // Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved. // -// Each core or library found in this collection may have its own licensing terms. -// The user should keep this in in mind while exploring these cores. +// This core is distributed in the hope that it will be useful, but WITHOUT ANY +// WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR +// A PARTICULAR PURPOSE. // -// Redistribution and use in source and binary forms, -// with or without modification of this file, are permitted under the terms of either -// (at the option of the user): +// Redistribution and use of source or resulting binaries, with or without modification +// of this file, are permitted under one of the following two license terms: // // 1. The GNU General Public License version 2 as published by the -// Free Software Foundation, which can be found in the top level directory, or at: -// https://www.gnu.org/licenses/old-licenses/gpl-2.0.en.html +// Free Software Foundation, which can be found in the top level directory of +// the repository (LICENSE_GPL2), and at: // // OR // -// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: -// https://github.com/analogdevicesinc/hdl/blob/dev/LICENSE +// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: +// https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD +// This will allow to generate bit files and not release the source code, +// as long as it attaches to an ADI device. // // *************************************************************************** // *************************************************************************** diff --git a/library/util_cic/cic_int.v b/library/util_cic/cic_int.v index d8e0b734b..4ed1d2290 100644 --- a/library/util_cic/cic_int.v +++ b/library/util_cic/cic_int.v @@ -2,21 +2,23 @@ // *************************************************************************** // Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved. // -// Each core or library found in this collection may have its own licensing terms. -// The user should keep this in in mind while exploring these cores. +// This core is distributed in the hope that it will be useful, but WITHOUT ANY +// WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR +// A PARTICULAR PURPOSE. // -// Redistribution and use in source and binary forms, -// with or without modification of this file, are permitted under the terms of either -// (at the option of the user): +// Redistribution and use of source or resulting binaries, with or without modification +// of this file, are permitted under one of the following two license terms: // // 1. The GNU General Public License version 2 as published by the -// Free Software Foundation, which can be found in the top level directory, or at: -// https://www.gnu.org/licenses/old-licenses/gpl-2.0.en.html +// Free Software Foundation, which can be found in the top level directory of +// the repository (LICENSE_GPL2), and at: // // OR // -// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: -// https://github.com/analogdevicesinc/hdl/blob/dev/LICENSE +// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: +// https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD +// This will allow to generate bit files and not release the source code, +// as long as it attaches to an ADI device. // // *************************************************************************** // *************************************************************************** diff --git a/library/util_clkdiv/util_clkdiv.v b/library/util_clkdiv/util_clkdiv.v index 1e21d92d4..5fe1a6c45 100644 --- a/library/util_clkdiv/util_clkdiv.v +++ b/library/util_clkdiv/util_clkdiv.v @@ -2,21 +2,23 @@ // *************************************************************************** // Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved. // -// Each core or library found in this collection may have its own licensing terms. -// The user should keep this in in mind while exploring these cores. +// This core is distributed in the hope that it will be useful, but WITHOUT ANY +// WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR +// A PARTICULAR PURPOSE. // -// Redistribution and use in source and binary forms, -// with or without modification of this file, are permitted under the terms of either -// (at the option of the user): +// Redistribution and use of source or resulting binaries, with or without modification +// of this file, are permitted under one of the following two license terms: // // 1. The GNU General Public License version 2 as published by the -// Free Software Foundation, which can be found in the top level directory, or at: -// https://www.gnu.org/licenses/old-licenses/gpl-2.0.en.html +// Free Software Foundation, which can be found in the top level directory of +// the repository (LICENSE_GPL2), and at: // // OR // -// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: -// https://github.com/analogdevicesinc/hdl/blob/dev/LICENSE +// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: +// https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD +// This will allow to generate bit files and not release the source code, +// as long as it attaches to an ADI device. // // *************************************************************************** // *************************************************************************** diff --git a/library/util_cpack/util_cpack.v b/library/util_cpack/util_cpack.v index 68f901933..430d1e440 100755 --- a/library/util_cpack/util_cpack.v +++ b/library/util_cpack/util_cpack.v @@ -2,21 +2,23 @@ // *************************************************************************** // Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved. // -// Each core or library found in this collection may have its own licensing terms. -// The user should keep this in in mind while exploring these cores. +// This core is distributed in the hope that it will be useful, but WITHOUT ANY +// WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR +// A PARTICULAR PURPOSE. // -// Redistribution and use in source and binary forms, -// with or without modification of this file, are permitted under the terms of either -// (at the option of the user): +// Redistribution and use of source or resulting binaries, with or without modification +// of this file, are permitted under one of the following two license terms: // // 1. The GNU General Public License version 2 as published by the -// Free Software Foundation, which can be found in the top level directory, or at: -// https://www.gnu.org/licenses/old-licenses/gpl-2.0.en.html +// Free Software Foundation, which can be found in the top level directory of +// the repository (LICENSE_GPL2), and at: // // OR // -// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: -// https://github.com/analogdevicesinc/hdl/blob/dev/LICENSE +// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: +// https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD +// This will allow to generate bit files and not release the source code, +// as long as it attaches to an ADI device. // // *************************************************************************** // *************************************************************************** diff --git a/library/util_cpack/util_cpack_dsf.v b/library/util_cpack/util_cpack_dsf.v index 2ab831770..b16f340a2 100755 --- a/library/util_cpack/util_cpack_dsf.v +++ b/library/util_cpack/util_cpack_dsf.v @@ -2,21 +2,23 @@ // *************************************************************************** // Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved. // -// Each core or library found in this collection may have its own licensing terms. -// The user should keep this in in mind while exploring these cores. +// This core is distributed in the hope that it will be useful, but WITHOUT ANY +// WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR +// A PARTICULAR PURPOSE. // -// Redistribution and use in source and binary forms, -// with or without modification of this file, are permitted under the terms of either -// (at the option of the user): +// Redistribution and use of source or resulting binaries, with or without modification +// of this file, are permitted under one of the following two license terms: // // 1. The GNU General Public License version 2 as published by the -// Free Software Foundation, which can be found in the top level directory, or at: -// https://www.gnu.org/licenses/old-licenses/gpl-2.0.en.html +// Free Software Foundation, which can be found in the top level directory of +// the repository (LICENSE_GPL2), and at: // // OR // -// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: -// https://github.com/analogdevicesinc/hdl/blob/dev/LICENSE +// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: +// https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD +// This will allow to generate bit files and not release the source code, +// as long as it attaches to an ADI device. // // *************************************************************************** // *************************************************************************** diff --git a/library/util_cpack/util_cpack_mux.v b/library/util_cpack/util_cpack_mux.v index 750980b78..8892552bc 100755 --- a/library/util_cpack/util_cpack_mux.v +++ b/library/util_cpack/util_cpack_mux.v @@ -2,21 +2,23 @@ // *************************************************************************** // Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved. // -// Each core or library found in this collection may have its own licensing terms. -// The user should keep this in in mind while exploring these cores. +// This core is distributed in the hope that it will be useful, but WITHOUT ANY +// WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR +// A PARTICULAR PURPOSE. // -// Redistribution and use in source and binary forms, -// with or without modification of this file, are permitted under the terms of either -// (at the option of the user): +// Redistribution and use of source or resulting binaries, with or without modification +// of this file, are permitted under one of the following two license terms: // // 1. The GNU General Public License version 2 as published by the -// Free Software Foundation, which can be found in the top level directory, or at: -// https://www.gnu.org/licenses/old-licenses/gpl-2.0.en.html +// Free Software Foundation, which can be found in the top level directory of +// the repository (LICENSE_GPL2), and at: // // OR // -// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: -// https://github.com/analogdevicesinc/hdl/blob/dev/LICENSE +// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: +// https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD +// This will allow to generate bit files and not release the source code, +// as long as it attaches to an ADI device. // // *************************************************************************** // *************************************************************************** diff --git a/library/util_dacfifo/util_dacfifo.v b/library/util_dacfifo/util_dacfifo.v index b5bceab11..916d7eb7e 100644 --- a/library/util_dacfifo/util_dacfifo.v +++ b/library/util_dacfifo/util_dacfifo.v @@ -2,21 +2,23 @@ // *************************************************************************** // Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved. // -// Each core or library found in this collection may have its own licensing terms. -// The user should keep this in in mind while exploring these cores. +// This core is distributed in the hope that it will be useful, but WITHOUT ANY +// WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR +// A PARTICULAR PURPOSE. // -// Redistribution and use in source and binary forms, -// with or without modification of this file, are permitted under the terms of either -// (at the option of the user): +// Redistribution and use of source or resulting binaries, with or without modification +// of this file, are permitted under one of the following two license terms: // // 1. The GNU General Public License version 2 as published by the -// Free Software Foundation, which can be found in the top level directory, or at: -// https://www.gnu.org/licenses/old-licenses/gpl-2.0.en.html +// Free Software Foundation, which can be found in the top level directory of +// the repository (LICENSE_GPL2), and at: // // OR // -// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: -// https://github.com/analogdevicesinc/hdl/blob/dev/LICENSE +// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: +// https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD +// This will allow to generate bit files and not release the source code, +// as long as it attaches to an ADI device. // // *************************************************************************** // *************************************************************************** diff --git a/library/util_extract/util_extract.v b/library/util_extract/util_extract.v index 6dd7df699..4d5549f07 100644 --- a/library/util_extract/util_extract.v +++ b/library/util_extract/util_extract.v @@ -2,21 +2,23 @@ // *************************************************************************** // Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved. // -// Each core or library found in this collection may have its own licensing terms. -// The user should keep this in in mind while exploring these cores. +// This core is distributed in the hope that it will be useful, but WITHOUT ANY +// WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR +// A PARTICULAR PURPOSE. // -// Redistribution and use in source and binary forms, -// with or without modification of this file, are permitted under the terms of either -// (at the option of the user): +// Redistribution and use of source or resulting binaries, with or without modification +// of this file, are permitted under one of the following two license terms: // // 1. The GNU General Public License version 2 as published by the -// Free Software Foundation, which can be found in the top level directory, or at: -// https://www.gnu.org/licenses/old-licenses/gpl-2.0.en.html +// Free Software Foundation, which can be found in the top level directory of +// the repository (LICENSE_GPL2), and at: // // OR // -// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: -// https://github.com/analogdevicesinc/hdl/blob/dev/LICENSE +// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: +// https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD +// This will allow to generate bit files and not release the source code, +// as long as it attaches to an ADI device. // // *************************************************************************** // *************************************************************************** diff --git a/library/util_fir_dec/util_fir_dec.v b/library/util_fir_dec/util_fir_dec.v index e1aafca18..594ae7a23 100644 --- a/library/util_fir_dec/util_fir_dec.v +++ b/library/util_fir_dec/util_fir_dec.v @@ -2,21 +2,23 @@ // *************************************************************************** // Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved. // -// Each core or library found in this collection may have its own licensing terms. -// The user should keep this in in mind while exploring these cores. +// This core is distributed in the hope that it will be useful, but WITHOUT ANY +// WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR +// A PARTICULAR PURPOSE. // -// Redistribution and use in source and binary forms, -// with or without modification of this file, are permitted under the terms of either -// (at the option of the user): +// Redistribution and use of source or resulting binaries, with or without modification +// of this file, are permitted under one of the following two license terms: // // 1. The GNU General Public License version 2 as published by the -// Free Software Foundation, which can be found in the top level directory, or at: -// https://www.gnu.org/licenses/old-licenses/gpl-2.0.en.html +// Free Software Foundation, which can be found in the top level directory of +// the repository (LICENSE_GPL2), and at: // // OR // -// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: -// https://github.com/analogdevicesinc/hdl/blob/dev/LICENSE +// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: +// https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD +// This will allow to generate bit files and not release the source code, +// as long as it attaches to an ADI device. // // *************************************************************************** // *************************************************************************** diff --git a/library/util_fir_int/util_fir_int.v b/library/util_fir_int/util_fir_int.v index 9dafebfb9..88f7b235e 100644 --- a/library/util_fir_int/util_fir_int.v +++ b/library/util_fir_int/util_fir_int.v @@ -2,21 +2,23 @@ // *************************************************************************** // Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved. // -// Each core or library found in this collection may have its own licensing terms. -// The user should keep this in in mind while exploring these cores. +// This core is distributed in the hope that it will be useful, but WITHOUT ANY +// WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR +// A PARTICULAR PURPOSE. // -// Redistribution and use in source and binary forms, -// with or without modification of this file, are permitted under the terms of either -// (at the option of the user): +// Redistribution and use of source or resulting binaries, with or without modification +// of this file, are permitted under one of the following two license terms: // // 1. The GNU General Public License version 2 as published by the -// Free Software Foundation, which can be found in the top level directory, or at: -// https://www.gnu.org/licenses/old-licenses/gpl-2.0.en.html +// Free Software Foundation, which can be found in the top level directory of +// the repository (LICENSE_GPL2), and at: // // OR // -// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: -// https://github.com/analogdevicesinc/hdl/blob/dev/LICENSE +// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: +// https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD +// This will allow to generate bit files and not release the source code, +// as long as it attaches to an ADI device. // // *************************************************************************** // *************************************************************************** diff --git a/library/util_gmii_to_rgmii/mdc_mdio.v b/library/util_gmii_to_rgmii/mdc_mdio.v index b45ac1c9a..2bb2d8147 100644 --- a/library/util_gmii_to_rgmii/mdc_mdio.v +++ b/library/util_gmii_to_rgmii/mdc_mdio.v @@ -2,21 +2,23 @@ // *************************************************************************** // Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved. // -// Each core or library found in this collection may have its own licensing terms. -// The user should keep this in in mind while exploring these cores. +// This core is distributed in the hope that it will be useful, but WITHOUT ANY +// WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR +// A PARTICULAR PURPOSE. // -// Redistribution and use in source and binary forms, -// with or without modification of this file, are permitted under the terms of either -// (at the option of the user): +// Redistribution and use of source or resulting binaries, with or without modification +// of this file, are permitted under one of the following two license terms: // // 1. The GNU General Public License version 2 as published by the -// Free Software Foundation, which can be found in the top level directory, or at: -// https://www.gnu.org/licenses/old-licenses/gpl-2.0.en.html +// Free Software Foundation, which can be found in the top level directory of +// the repository (LICENSE_GPL2), and at: // // OR // -// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: -// https://github.com/analogdevicesinc/hdl/blob/dev/LICENSE +// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: +// https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD +// This will allow to generate bit files and not release the source code, +// as long as it attaches to an ADI device. // // *************************************************************************** // *************************************************************************** diff --git a/library/util_gmii_to_rgmii/util_gmii_to_rgmii.v b/library/util_gmii_to_rgmii/util_gmii_to_rgmii.v index 6751021aa..9863e91ca 100644 --- a/library/util_gmii_to_rgmii/util_gmii_to_rgmii.v +++ b/library/util_gmii_to_rgmii/util_gmii_to_rgmii.v @@ -2,21 +2,23 @@ // *************************************************************************** // Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved. // -// Each core or library found in this collection may have its own licensing terms. -// The user should keep this in in mind while exploring these cores. +// This core is distributed in the hope that it will be useful, but WITHOUT ANY +// WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR +// A PARTICULAR PURPOSE. // -// Redistribution and use in source and binary forms, -// with or without modification of this file, are permitted under the terms of either -// (at the option of the user): +// Redistribution and use of source or resulting binaries, with or without modification +// of this file, are permitted under one of the following two license terms: // // 1. The GNU General Public License version 2 as published by the -// Free Software Foundation, which can be found in the top level directory, or at: -// https://www.gnu.org/licenses/old-licenses/gpl-2.0.en.html +// Free Software Foundation, which can be found in the top level directory of +// the repository (LICENSE_GPL2), and at: // // OR // -// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: -// https://github.com/analogdevicesinc/hdl/blob/dev/LICENSE +// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: +// https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD +// This will allow to generate bit files and not release the source code, +// as long as it attaches to an ADI device. // // *************************************************************************** // *************************************************************************** diff --git a/library/util_i2c_mixer/util_i2c_mixer.vhd b/library/util_i2c_mixer/util_i2c_mixer.vhd index f1f884efd..69c79684f 100644 --- a/library/util_i2c_mixer/util_i2c_mixer.vhd +++ b/library/util_i2c_mixer/util_i2c_mixer.vhd @@ -2,21 +2,23 @@ -- *************************************************************************** -- Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved. -- --- Each core or library found in this collection may have its own licensing terms. --- The user should keep this in in mind while exploring these cores. +-- This core is distributed in the hope that it will be useful, but WITHOUT ANY +-- WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR +-- A PARTICULAR PURPOSE. -- --- Redistribution and use in source and binary forms, --- with or without modification of this file, are permitted under the terms of either --- (at the option of the user): +-- Redistribution and use of source or resulting binaries, with or without modification +-- of this file, are permitted under one of the following two license terms: -- -- 1. The GNU General Public License version 2 as published by the --- Free Software Foundation, which can be found in the top level directory, or at: --- http://www.gnu.org/licenses/old-licenses/gpl-2.0.en.html +-- Free Software Foundation, which can be found in the top level directory of +-- the repository (LICENSE_GPL2), and at: -- -- OR -- --- 2. An ADI specific BSD license as noted in the top level directory, or on-line at: --- http://github.com/analogdevicesinc/hdl/blob/dev/LICENSE +-- 2. An ADI specific BSD license as noted in the top level directory, or on-line at: +-- https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD +-- This will allow to generate bit files and not release the source code, +-- as long as it attaches to an ADI device. -- -- *************************************************************************** -- *************************************************************************** diff --git a/library/util_mfifo/util_mfifo.v b/library/util_mfifo/util_mfifo.v index 38289feb2..850cb9587 100644 --- a/library/util_mfifo/util_mfifo.v +++ b/library/util_mfifo/util_mfifo.v @@ -2,21 +2,23 @@ // *************************************************************************** // Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved. // -// Each core or library found in this collection may have its own licensing terms. -// The user should keep this in in mind while exploring these cores. +// This core is distributed in the hope that it will be useful, but WITHOUT ANY +// WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR +// A PARTICULAR PURPOSE. // -// Redistribution and use in source and binary forms, -// with or without modification of this file, are permitted under the terms of either -// (at the option of the user): +// Redistribution and use of source or resulting binaries, with or without modification +// of this file, are permitted under one of the following two license terms: // // 1. The GNU General Public License version 2 as published by the -// Free Software Foundation, which can be found in the top level directory, or at: -// https://www.gnu.org/licenses/old-licenses/gpl-2.0.en.html +// Free Software Foundation, which can be found in the top level directory of +// the repository (LICENSE_GPL2), and at: // // OR // -// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: -// https://github.com/analogdevicesinc/hdl/blob/dev/LICENSE +// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: +// https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD +// This will allow to generate bit files and not release the source code, +// as long as it attaches to an ADI device. // // *************************************************************************** // *************************************************************************** diff --git a/library/util_pmod_adc/util_pmod_adc.v b/library/util_pmod_adc/util_pmod_adc.v index afeddeea0..633afac7c 100644 --- a/library/util_pmod_adc/util_pmod_adc.v +++ b/library/util_pmod_adc/util_pmod_adc.v @@ -2,21 +2,23 @@ // *************************************************************************** // Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved. // -// Each core or library found in this collection may have its own licensing terms. -// The user should keep this in in mind while exploring these cores. +// This core is distributed in the hope that it will be useful, but WITHOUT ANY +// WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR +// A PARTICULAR PURPOSE. // -// Redistribution and use in source and binary forms, -// with or without modification of this file, are permitted under the terms of either -// (at the option of the user): +// Redistribution and use of source or resulting binaries, with or without modification +// of this file, are permitted under one of the following two license terms: // // 1. The GNU General Public License version 2 as published by the -// Free Software Foundation, which can be found in the top level directory, or at: -// https://www.gnu.org/licenses/old-licenses/gpl-2.0.en.html +// Free Software Foundation, which can be found in the top level directory of +// the repository (LICENSE_GPL2), and at: // // OR // -// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: -// https://github.com/analogdevicesinc/hdl/blob/dev/LICENSE +// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: +// https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD +// This will allow to generate bit files and not release the source code, +// as long as it attaches to an ADI device. // // *************************************************************************** // *************************************************************************** diff --git a/library/util_pmod_fmeter/util_pmod_fmeter.v b/library/util_pmod_fmeter/util_pmod_fmeter.v index dce997ca7..5f7ae3427 100644 --- a/library/util_pmod_fmeter/util_pmod_fmeter.v +++ b/library/util_pmod_fmeter/util_pmod_fmeter.v @@ -2,21 +2,23 @@ // *************************************************************************** // Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved. // -// Each core or library found in this collection may have its own licensing terms. -// The user should keep this in in mind while exploring these cores. +// This core is distributed in the hope that it will be useful, but WITHOUT ANY +// WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR +// A PARTICULAR PURPOSE. // -// Redistribution and use in source and binary forms, -// with or without modification of this file, are permitted under the terms of either -// (at the option of the user): +// Redistribution and use of source or resulting binaries, with or without modification +// of this file, are permitted under one of the following two license terms: // // 1. The GNU General Public License version 2 as published by the -// Free Software Foundation, which can be found in the top level directory, or at: -// https://www.gnu.org/licenses/old-licenses/gpl-2.0.en.html +// Free Software Foundation, which can be found in the top level directory of +// the repository (LICENSE_GPL2), and at: // // OR // -// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: -// https://github.com/analogdevicesinc/hdl/blob/dev/LICENSE +// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: +// https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD +// This will allow to generate bit files and not release the source code, +// as long as it attaches to an ADI device. // // *************************************************************************** // *************************************************************************** diff --git a/library/util_pmod_fmeter/util_pmod_fmeter_core.v b/library/util_pmod_fmeter/util_pmod_fmeter_core.v index af5504d36..74533fa61 100644 --- a/library/util_pmod_fmeter/util_pmod_fmeter_core.v +++ b/library/util_pmod_fmeter/util_pmod_fmeter_core.v @@ -2,21 +2,23 @@ // *************************************************************************** // Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved. // -// Each core or library found in this collection may have its own licensing terms. -// The user should keep this in in mind while exploring these cores. +// This core is distributed in the hope that it will be useful, but WITHOUT ANY +// WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR +// A PARTICULAR PURPOSE. // -// Redistribution and use in source and binary forms, -// with or without modification of this file, are permitted under the terms of either -// (at the option of the user): +// Redistribution and use of source or resulting binaries, with or without modification +// of this file, are permitted under one of the following two license terms: // // 1. The GNU General Public License version 2 as published by the -// Free Software Foundation, which can be found in the top level directory, or at: -// https://www.gnu.org/licenses/old-licenses/gpl-2.0.en.html +// Free Software Foundation, which can be found in the top level directory of +// the repository (LICENSE_GPL2), and at: // // OR // -// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: -// https://github.com/analogdevicesinc/hdl/blob/dev/LICENSE +// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: +// https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD +// This will allow to generate bit files and not release the source code, +// as long as it attaches to an ADI device. // // *************************************************************************** // *************************************************************************** diff --git a/library/util_rfifo/util_rfifo.v b/library/util_rfifo/util_rfifo.v index 721a1f3b2..322835f99 100644 --- a/library/util_rfifo/util_rfifo.v +++ b/library/util_rfifo/util_rfifo.v @@ -2,21 +2,23 @@ // *************************************************************************** // Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved. // -// Each core or library found in this collection may have its own licensing terms. -// The user should keep this in in mind while exploring these cores. +// This core is distributed in the hope that it will be useful, but WITHOUT ANY +// WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR +// A PARTICULAR PURPOSE. // -// Redistribution and use in source and binary forms, -// with or without modification of this file, are permitted under the terms of either -// (at the option of the user): +// Redistribution and use of source or resulting binaries, with or without modification +// of this file, are permitted under one of the following two license terms: // // 1. The GNU General Public License version 2 as published by the -// Free Software Foundation, which can be found in the top level directory, or at: -// https://www.gnu.org/licenses/old-licenses/gpl-2.0.en.html +// Free Software Foundation, which can be found in the top level directory of +// the repository (LICENSE_GPL2), and at: // // OR // -// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: -// https://github.com/analogdevicesinc/hdl/blob/dev/LICENSE +// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: +// https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD +// This will allow to generate bit files and not release the source code, +// as long as it attaches to an ADI device. // // *************************************************************************** // *************************************************************************** diff --git a/library/util_sigma_delta_spi/util_sigma_delta_spi.v b/library/util_sigma_delta_spi/util_sigma_delta_spi.v index e3567ef70..1e736cc44 100644 --- a/library/util_sigma_delta_spi/util_sigma_delta_spi.v +++ b/library/util_sigma_delta_spi/util_sigma_delta_spi.v @@ -2,21 +2,23 @@ // *************************************************************************** // Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved. // -// Each core or library found in this collection may have its own licensing terms. -// The user should keep this in in mind while exploring these cores. +// This core is distributed in the hope that it will be useful, but WITHOUT ANY +// WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR +// A PARTICULAR PURPOSE. // -// Redistribution and use in source and binary forms, -// with or without modification of this file, are permitted under the terms of either -// (at the option of the user): +// Redistribution and use of source or resulting binaries, with or without modification +// of this file, are permitted under one of the following two license terms: // // 1. The GNU General Public License version 2 as published by the -// Free Software Foundation, which can be found in the top level directory, or at: -// https://www.gnu.org/licenses/old-licenses/gpl-2.0.en.html +// Free Software Foundation, which can be found in the top level directory of +// the repository (LICENSE_GPL2), and at: // // OR // -// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: -// https://github.com/analogdevicesinc/hdl/blob/dev/LICENSE +// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: +// https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD +// This will allow to generate bit files and not release the source code, +// as long as it attaches to an ADI device. // // *************************************************************************** // *************************************************************************** diff --git a/library/util_tdd_sync/util_tdd_sync.v b/library/util_tdd_sync/util_tdd_sync.v index 8363dd312..fad712954 100644 --- a/library/util_tdd_sync/util_tdd_sync.v +++ b/library/util_tdd_sync/util_tdd_sync.v @@ -2,21 +2,23 @@ // *************************************************************************** // Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved. // -// Each core or library found in this collection may have its own licensing terms. -// The user should keep this in in mind while exploring these cores. +// This core is distributed in the hope that it will be useful, but WITHOUT ANY +// WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR +// A PARTICULAR PURPOSE. // -// Redistribution and use in source and binary forms, -// with or without modification of this file, are permitted under the terms of either -// (at the option of the user): +// Redistribution and use of source or resulting binaries, with or without modification +// of this file, are permitted under one of the following two license terms: // // 1. The GNU General Public License version 2 as published by the -// Free Software Foundation, which can be found in the top level directory, or at: -// https://www.gnu.org/licenses/old-licenses/gpl-2.0.en.html +// Free Software Foundation, which can be found in the top level directory of +// the repository (LICENSE_GPL2), and at: // // OR // -// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: -// https://github.com/analogdevicesinc/hdl/blob/dev/LICENSE +// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: +// https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD +// This will allow to generate bit files and not release the source code, +// as long as it attaches to an ADI device. // // *************************************************************************** // *************************************************************************** diff --git a/library/util_upack/util_upack.v b/library/util_upack/util_upack.v index 8efd05df5..7a74f8bd0 100755 --- a/library/util_upack/util_upack.v +++ b/library/util_upack/util_upack.v @@ -2,21 +2,23 @@ // *************************************************************************** // Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved. // -// Each core or library found in this collection may have its own licensing terms. -// The user should keep this in in mind while exploring these cores. +// This core is distributed in the hope that it will be useful, but WITHOUT ANY +// WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR +// A PARTICULAR PURPOSE. // -// Redistribution and use in source and binary forms, -// with or without modification of this file, are permitted under the terms of either -// (at the option of the user): +// Redistribution and use of source or resulting binaries, with or without modification +// of this file, are permitted under one of the following two license terms: // // 1. The GNU General Public License version 2 as published by the -// Free Software Foundation, which can be found in the top level directory, or at: -// https://www.gnu.org/licenses/old-licenses/gpl-2.0.en.html +// Free Software Foundation, which can be found in the top level directory of +// the repository (LICENSE_GPL2), and at: // // OR // -// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: -// https://github.com/analogdevicesinc/hdl/blob/dev/LICENSE +// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: +// https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD +// This will allow to generate bit files and not release the source code, +// as long as it attaches to an ADI device. // // *************************************************************************** // *************************************************************************** diff --git a/library/util_upack/util_upack_dmx.v b/library/util_upack/util_upack_dmx.v index 57e3ada78..f3dcf3a65 100755 --- a/library/util_upack/util_upack_dmx.v +++ b/library/util_upack/util_upack_dmx.v @@ -2,21 +2,23 @@ // *************************************************************************** // Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved. // -// Each core or library found in this collection may have its own licensing terms. -// The user should keep this in in mind while exploring these cores. +// This core is distributed in the hope that it will be useful, but WITHOUT ANY +// WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR +// A PARTICULAR PURPOSE. // -// Redistribution and use in source and binary forms, -// with or without modification of this file, are permitted under the terms of either -// (at the option of the user): +// Redistribution and use of source or resulting binaries, with or without modification +// of this file, are permitted under one of the following two license terms: // // 1. The GNU General Public License version 2 as published by the -// Free Software Foundation, which can be found in the top level directory, or at: -// https://www.gnu.org/licenses/old-licenses/gpl-2.0.en.html +// Free Software Foundation, which can be found in the top level directory of +// the repository (LICENSE_GPL2), and at: // // OR // -// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: -// https://github.com/analogdevicesinc/hdl/blob/dev/LICENSE +// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: +// https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD +// This will allow to generate bit files and not release the source code, +// as long as it attaches to an ADI device. // // *************************************************************************** // *************************************************************************** diff --git a/library/util_upack/util_upack_dsf.v b/library/util_upack/util_upack_dsf.v index a783117c5..0c4cead13 100755 --- a/library/util_upack/util_upack_dsf.v +++ b/library/util_upack/util_upack_dsf.v @@ -2,21 +2,23 @@ // *************************************************************************** // Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved. // -// Each core or library found in this collection may have its own licensing terms. -// The user should keep this in in mind while exploring these cores. +// This core is distributed in the hope that it will be useful, but WITHOUT ANY +// WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR +// A PARTICULAR PURPOSE. // -// Redistribution and use in source and binary forms, -// with or without modification of this file, are permitted under the terms of either -// (at the option of the user): +// Redistribution and use of source or resulting binaries, with or without modification +// of this file, are permitted under one of the following two license terms: // // 1. The GNU General Public License version 2 as published by the -// Free Software Foundation, which can be found in the top level directory, or at: -// https://www.gnu.org/licenses/old-licenses/gpl-2.0.en.html +// Free Software Foundation, which can be found in the top level directory of +// the repository (LICENSE_GPL2), and at: // // OR // -// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: -// https://github.com/analogdevicesinc/hdl/blob/dev/LICENSE +// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: +// https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD +// This will allow to generate bit files and not release the source code, +// as long as it attaches to an ADI device. // // *************************************************************************** // *************************************************************************** diff --git a/library/util_var_fifo/util_var_fifo.v b/library/util_var_fifo/util_var_fifo.v index cf928e1c7..a73582ff1 100644 --- a/library/util_var_fifo/util_var_fifo.v +++ b/library/util_var_fifo/util_var_fifo.v @@ -2,21 +2,23 @@ // *************************************************************************** // Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved. // -// Each core or library found in this collection may have its own licensing terms. -// The user should keep this in in mind while exploring these cores. +// This core is distributed in the hope that it will be useful, but WITHOUT ANY +// WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR +// A PARTICULAR PURPOSE. // -// Redistribution and use in source and binary forms, -// with or without modification of this file, are permitted under the terms of either -// (at the option of the user): +// Redistribution and use of source or resulting binaries, with or without modification +// of this file, are permitted under one of the following two license terms: // // 1. The GNU General Public License version 2 as published by the -// Free Software Foundation, which can be found in the top level directory, or at: -// https://www.gnu.org/licenses/old-licenses/gpl-2.0.en.html +// Free Software Foundation, which can be found in the top level directory of +// the repository (LICENSE_GPL2), and at: // // OR // -// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: -// https://github.com/analogdevicesinc/hdl/blob/dev/LICENSE +// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: +// https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD +// This will allow to generate bit files and not release the source code, +// as long as it attaches to an ADI device. // // *************************************************************************** // *************************************************************************** diff --git a/library/util_wfifo/util_wfifo.v b/library/util_wfifo/util_wfifo.v index f219cf7fe..92fbeba04 100644 --- a/library/util_wfifo/util_wfifo.v +++ b/library/util_wfifo/util_wfifo.v @@ -2,21 +2,23 @@ // *************************************************************************** // Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved. // -// Each core or library found in this collection may have its own licensing terms. -// The user should keep this in in mind while exploring these cores. +// This core is distributed in the hope that it will be useful, but WITHOUT ANY +// WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR +// A PARTICULAR PURPOSE. // -// Redistribution and use in source and binary forms, -// with or without modification of this file, are permitted under the terms of either -// (at the option of the user): +// Redistribution and use of source or resulting binaries, with or without modification +// of this file, are permitted under one of the following two license terms: // // 1. The GNU General Public License version 2 as published by the -// Free Software Foundation, which can be found in the top level directory, or at: -// https://www.gnu.org/licenses/old-licenses/gpl-2.0.en.html +// Free Software Foundation, which can be found in the top level directory of +// the repository (LICENSE_GPL2), and at: // // OR // -// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: -// https://github.com/analogdevicesinc/hdl/blob/dev/LICENSE +// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: +// https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD +// This will allow to generate bit files and not release the source code, +// as long as it attaches to an ADI device. // // *************************************************************************** // *************************************************************************** diff --git a/library/xilinx/axi_adcfifo/axi_adcfifo.v b/library/xilinx/axi_adcfifo/axi_adcfifo.v index 5ad589e2e..12e4ba634 100644 --- a/library/xilinx/axi_adcfifo/axi_adcfifo.v +++ b/library/xilinx/axi_adcfifo/axi_adcfifo.v @@ -2,21 +2,23 @@ // *************************************************************************** // Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved. // -// Each core or library found in this collection may have its own licensing terms. -// The user should keep this in in mind while exploring these cores. +// This core is distributed in the hope that it will be useful, but WITHOUT ANY +// WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR +// A PARTICULAR PURPOSE. // -// Redistribution and use in source and binary forms, -// with or without modification of this file, are permitted under the terms of either -// (at the option of the user): +// Redistribution and use of source or resulting binaries, with or without modification +// of this file, are permitted under one of the following two license terms: // // 1. The GNU General Public License version 2 as published by the -// Free Software Foundation, which can be found in the top level directory, or at: -// https://www.gnu.org/licenses/old-licenses/gpl-2.0.en.html +// Free Software Foundation, which can be found in the top level directory of +// the repository (LICENSE_GPL2), and at: // // OR // -// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: -// https://github.com/analogdevicesinc/hdl/blob/dev/LICENSE +// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: +// https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD +// This will allow to generate bit files and not release the source code, +// as long as it attaches to an ADI device. // // *************************************************************************** // *************************************************************************** diff --git a/library/xilinx/axi_adcfifo/axi_adcfifo_adc.v b/library/xilinx/axi_adcfifo/axi_adcfifo_adc.v index e2c2debb5..4ee6805cd 100644 --- a/library/xilinx/axi_adcfifo/axi_adcfifo_adc.v +++ b/library/xilinx/axi_adcfifo/axi_adcfifo_adc.v @@ -2,21 +2,23 @@ // *************************************************************************** // Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved. // -// Each core or library found in this collection may have its own licensing terms. -// The user should keep this in in mind while exploring these cores. +// This core is distributed in the hope that it will be useful, but WITHOUT ANY +// WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR +// A PARTICULAR PURPOSE. // -// Redistribution and use in source and binary forms, -// with or without modification of this file, are permitted under the terms of either -// (at the option of the user): +// Redistribution and use of source or resulting binaries, with or without modification +// of this file, are permitted under one of the following two license terms: // // 1. The GNU General Public License version 2 as published by the -// Free Software Foundation, which can be found in the top level directory, or at: -// https://www.gnu.org/licenses/old-licenses/gpl-2.0.en.html +// Free Software Foundation, which can be found in the top level directory of +// the repository (LICENSE_GPL2), and at: // // OR // -// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: -// https://github.com/analogdevicesinc/hdl/blob/dev/LICENSE +// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: +// https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD +// This will allow to generate bit files and not release the source code, +// as long as it attaches to an ADI device. // // *************************************************************************** // *************************************************************************** diff --git a/library/xilinx/axi_adcfifo/axi_adcfifo_dma.v b/library/xilinx/axi_adcfifo/axi_adcfifo_dma.v index efcf4c2e1..da24b5fb4 100644 --- a/library/xilinx/axi_adcfifo/axi_adcfifo_dma.v +++ b/library/xilinx/axi_adcfifo/axi_adcfifo_dma.v @@ -2,21 +2,23 @@ // *************************************************************************** // Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved. // -// Each core or library found in this collection may have its own licensing terms. -// The user should keep this in in mind while exploring these cores. +// This core is distributed in the hope that it will be useful, but WITHOUT ANY +// WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR +// A PARTICULAR PURPOSE. // -// Redistribution and use in source and binary forms, -// with or without modification of this file, are permitted under the terms of either -// (at the option of the user): +// Redistribution and use of source or resulting binaries, with or without modification +// of this file, are permitted under one of the following two license terms: // // 1. The GNU General Public License version 2 as published by the -// Free Software Foundation, which can be found in the top level directory, or at: -// https://www.gnu.org/licenses/old-licenses/gpl-2.0.en.html +// Free Software Foundation, which can be found in the top level directory of +// the repository (LICENSE_GPL2), and at: // // OR // -// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: -// https://github.com/analogdevicesinc/hdl/blob/dev/LICENSE +// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: +// https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD +// This will allow to generate bit files and not release the source code, +// as long as it attaches to an ADI device. // // *************************************************************************** // *************************************************************************** diff --git a/library/xilinx/axi_adcfifo/axi_adcfifo_rd.v b/library/xilinx/axi_adcfifo/axi_adcfifo_rd.v index 91590cc8a..85fab769c 100644 --- a/library/xilinx/axi_adcfifo/axi_adcfifo_rd.v +++ b/library/xilinx/axi_adcfifo/axi_adcfifo_rd.v @@ -2,21 +2,23 @@ // *************************************************************************** // Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved. // -// Each core or library found in this collection may have its own licensing terms. -// The user should keep this in in mind while exploring these cores. +// This core is distributed in the hope that it will be useful, but WITHOUT ANY +// WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR +// A PARTICULAR PURPOSE. // -// Redistribution and use in source and binary forms, -// with or without modification of this file, are permitted under the terms of either -// (at the option of the user): +// Redistribution and use of source or resulting binaries, with or without modification +// of this file, are permitted under one of the following two license terms: // // 1. The GNU General Public License version 2 as published by the -// Free Software Foundation, which can be found in the top level directory, or at: -// https://www.gnu.org/licenses/old-licenses/gpl-2.0.en.html +// Free Software Foundation, which can be found in the top level directory of +// the repository (LICENSE_GPL2), and at: // // OR // -// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: -// https://github.com/analogdevicesinc/hdl/blob/dev/LICENSE +// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: +// https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD +// This will allow to generate bit files and not release the source code, +// as long as it attaches to an ADI device. // // *************************************************************************** // *************************************************************************** diff --git a/library/xilinx/axi_adcfifo/axi_adcfifo_wr.v b/library/xilinx/axi_adcfifo/axi_adcfifo_wr.v index 308087bcd..29d647a79 100644 --- a/library/xilinx/axi_adcfifo/axi_adcfifo_wr.v +++ b/library/xilinx/axi_adcfifo/axi_adcfifo_wr.v @@ -2,21 +2,23 @@ // *************************************************************************** // Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved. // -// Each core or library found in this collection may have its own licensing terms. -// The user should keep this in in mind while exploring these cores. +// This core is distributed in the hope that it will be useful, but WITHOUT ANY +// WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR +// A PARTICULAR PURPOSE. // -// Redistribution and use in source and binary forms, -// with or without modification of this file, are permitted under the terms of either -// (at the option of the user): +// Redistribution and use of source or resulting binaries, with or without modification +// of this file, are permitted under one of the following two license terms: // // 1. The GNU General Public License version 2 as published by the -// Free Software Foundation, which can be found in the top level directory, or at: -// https://www.gnu.org/licenses/old-licenses/gpl-2.0.en.html +// Free Software Foundation, which can be found in the top level directory of +// the repository (LICENSE_GPL2), and at: // // OR // -// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: -// https://github.com/analogdevicesinc/hdl/blob/dev/LICENSE +// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: +// https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD +// This will allow to generate bit files and not release the source code, +// as long as it attaches to an ADI device. // // *************************************************************************** // *************************************************************************** diff --git a/library/xilinx/axi_adxcvr/axi_adxcvr.v b/library/xilinx/axi_adxcvr/axi_adxcvr.v index db87688d3..d696abaf8 100644 --- a/library/xilinx/axi_adxcvr/axi_adxcvr.v +++ b/library/xilinx/axi_adxcvr/axi_adxcvr.v @@ -2,21 +2,23 @@ // *************************************************************************** // Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved. // -// Each core or library found in this collection may have its own licensing terms. -// The user should keep this in in mind while exploring these cores. +// This core is distributed in the hope that it will be useful, but WITHOUT ANY +// WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR +// A PARTICULAR PURPOSE. // -// Redistribution and use in source and binary forms, -// with or without modification of this file, are permitted under the terms of either -// (at the option of the user): +// Redistribution and use of source or resulting binaries, with or without modification +// of this file, are permitted under one of the following two license terms: // // 1. The GNU General Public License version 2 as published by the -// Free Software Foundation, which can be found in the top level directory, or at: -// https://www.gnu.org/licenses/old-licenses/gpl-2.0.en.html +// Free Software Foundation, which can be found in the top level directory of +// the repository (LICENSE_GPL2), and at: // // OR // -// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: -// https://github.com/analogdevicesinc/hdl/blob/dev/LICENSE +// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: +// https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD +// This will allow to generate bit files and not release the source code, +// as long as it attaches to an ADI device. // // *************************************************************************** // *************************************************************************** diff --git a/library/xilinx/axi_adxcvr/axi_adxcvr_es.v b/library/xilinx/axi_adxcvr/axi_adxcvr_es.v index 74053e80f..e7cf31f25 100644 --- a/library/xilinx/axi_adxcvr/axi_adxcvr_es.v +++ b/library/xilinx/axi_adxcvr/axi_adxcvr_es.v @@ -2,21 +2,23 @@ // *************************************************************************** // Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved. // -// Each core or library found in this collection may have its own licensing terms. -// The user should keep this in in mind while exploring these cores. +// This core is distributed in the hope that it will be useful, but WITHOUT ANY +// WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR +// A PARTICULAR PURPOSE. // -// Redistribution and use in source and binary forms, -// with or without modification of this file, are permitted under the terms of either -// (at the option of the user): +// Redistribution and use of source or resulting binaries, with or without modification +// of this file, are permitted under one of the following two license terms: // // 1. The GNU General Public License version 2 as published by the -// Free Software Foundation, which can be found in the top level directory, or at: -// https://www.gnu.org/licenses/old-licenses/gpl-2.0.en.html +// Free Software Foundation, which can be found in the top level directory of +// the repository (LICENSE_GPL2), and at: // // OR // -// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: -// https://github.com/analogdevicesinc/hdl/blob/dev/LICENSE +// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: +// https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD +// This will allow to generate bit files and not release the source code, +// as long as it attaches to an ADI device. // // *************************************************************************** // *************************************************************************** diff --git a/library/xilinx/axi_adxcvr/axi_adxcvr_mdrp.v b/library/xilinx/axi_adxcvr/axi_adxcvr_mdrp.v index 7e147fec3..748580da6 100644 --- a/library/xilinx/axi_adxcvr/axi_adxcvr_mdrp.v +++ b/library/xilinx/axi_adxcvr/axi_adxcvr_mdrp.v @@ -2,21 +2,23 @@ // *************************************************************************** // Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved. // -// Each core or library found in this collection may have its own licensing terms. -// The user should keep this in in mind while exploring these cores. +// This core is distributed in the hope that it will be useful, but WITHOUT ANY +// WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR +// A PARTICULAR PURPOSE. // -// Redistribution and use in source and binary forms, -// with or without modification of this file, are permitted under the terms of either -// (at the option of the user): +// Redistribution and use of source or resulting binaries, with or without modification +// of this file, are permitted under one of the following two license terms: // // 1. The GNU General Public License version 2 as published by the -// Free Software Foundation, which can be found in the top level directory, or at: -// https://www.gnu.org/licenses/old-licenses/gpl-2.0.en.html +// Free Software Foundation, which can be found in the top level directory of +// the repository (LICENSE_GPL2), and at: // // OR // -// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: -// https://github.com/analogdevicesinc/hdl/blob/dev/LICENSE +// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: +// https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD +// This will allow to generate bit files and not release the source code, +// as long as it attaches to an ADI device. // // *************************************************************************** // *************************************************************************** diff --git a/library/xilinx/axi_adxcvr/axi_adxcvr_mstatus.v b/library/xilinx/axi_adxcvr/axi_adxcvr_mstatus.v index 505c51d9f..f58562d77 100644 --- a/library/xilinx/axi_adxcvr/axi_adxcvr_mstatus.v +++ b/library/xilinx/axi_adxcvr/axi_adxcvr_mstatus.v @@ -2,21 +2,23 @@ // *************************************************************************** // Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved. // -// Each core or library found in this collection may have its own licensing terms. -// The user should keep this in in mind while exploring these cores. +// This core is distributed in the hope that it will be useful, but WITHOUT ANY +// WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR +// A PARTICULAR PURPOSE. // -// Redistribution and use in source and binary forms, -// with or without modification of this file, are permitted under the terms of either -// (at the option of the user): +// Redistribution and use of source or resulting binaries, with or without modification +// of this file, are permitted under one of the following two license terms: // // 1. The GNU General Public License version 2 as published by the -// Free Software Foundation, which can be found in the top level directory, or at: -// https://www.gnu.org/licenses/old-licenses/gpl-2.0.en.html +// Free Software Foundation, which can be found in the top level directory of +// the repository (LICENSE_GPL2), and at: // // OR // -// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: -// https://github.com/analogdevicesinc/hdl/blob/dev/LICENSE +// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: +// https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD +// This will allow to generate bit files and not release the source code, +// as long as it attaches to an ADI device. // // *************************************************************************** // *************************************************************************** diff --git a/library/xilinx/axi_adxcvr/axi_adxcvr_up.v b/library/xilinx/axi_adxcvr/axi_adxcvr_up.v index fbaee68f3..e2d05e43b 100644 --- a/library/xilinx/axi_adxcvr/axi_adxcvr_up.v +++ b/library/xilinx/axi_adxcvr/axi_adxcvr_up.v @@ -2,21 +2,23 @@ // *************************************************************************** // Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved. // -// Each core or library found in this collection may have its own licensing terms. -// The user should keep this in in mind while exploring these cores. +// This core is distributed in the hope that it will be useful, but WITHOUT ANY +// WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR +// A PARTICULAR PURPOSE. // -// Redistribution and use in source and binary forms, -// with or without modification of this file, are permitted under the terms of either -// (at the option of the user): +// Redistribution and use of source or resulting binaries, with or without modification +// of this file, are permitted under one of the following two license terms: // // 1. The GNU General Public License version 2 as published by the -// Free Software Foundation, which can be found in the top level directory, or at: -// https://www.gnu.org/licenses/old-licenses/gpl-2.0.en.html +// Free Software Foundation, which can be found in the top level directory of +// the repository (LICENSE_GPL2), and at: // // OR // -// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: -// https://github.com/analogdevicesinc/hdl/blob/dev/LICENSE +// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: +// https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD +// This will allow to generate bit files and not release the source code, +// as long as it attaches to an ADI device. // // *************************************************************************** // *************************************************************************** diff --git a/library/xilinx/axi_dacfifo/axi_dacfifo.v b/library/xilinx/axi_dacfifo/axi_dacfifo.v index 5138a537f..1850c50d7 100644 --- a/library/xilinx/axi_dacfifo/axi_dacfifo.v +++ b/library/xilinx/axi_dacfifo/axi_dacfifo.v @@ -2,21 +2,23 @@ // *************************************************************************** // Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved. // -// Each core or library found in this collection may have its own licensing terms. -// The user should keep this in in mind while exploring these cores. +// This core is distributed in the hope that it will be useful, but WITHOUT ANY +// WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR +// A PARTICULAR PURPOSE. // -// Redistribution and use in source and binary forms, -// with or without modification of this file, are permitted under the terms of either -// (at the option of the user): +// Redistribution and use of source or resulting binaries, with or without modification +// of this file, are permitted under one of the following two license terms: // // 1. The GNU General Public License version 2 as published by the -// Free Software Foundation, which can be found in the top level directory, or at: -// https://www.gnu.org/licenses/old-licenses/gpl-2.0.en.html +// Free Software Foundation, which can be found in the top level directory of +// the repository (LICENSE_GPL2), and at: // // OR // -// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: -// https://github.com/analogdevicesinc/hdl/blob/dev/LICENSE +// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: +// https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD +// This will allow to generate bit files and not release the source code, +// as long as it attaches to an ADI device. // // *************************************************************************** // *************************************************************************** diff --git a/library/xilinx/axi_dacfifo/axi_dacfifo_dac.v b/library/xilinx/axi_dacfifo/axi_dacfifo_dac.v index 3827f92aa..721363a3b 100644 --- a/library/xilinx/axi_dacfifo/axi_dacfifo_dac.v +++ b/library/xilinx/axi_dacfifo/axi_dacfifo_dac.v @@ -2,21 +2,23 @@ // *************************************************************************** // Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved. // -// Each core or library found in this collection may have its own licensing terms. -// The user should keep this in in mind while exploring these cores. +// This core is distributed in the hope that it will be useful, but WITHOUT ANY +// WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR +// A PARTICULAR PURPOSE. // -// Redistribution and use in source and binary forms, -// with or without modification of this file, are permitted under the terms of either -// (at the option of the user): +// Redistribution and use of source or resulting binaries, with or without modification +// of this file, are permitted under one of the following two license terms: // // 1. The GNU General Public License version 2 as published by the -// Free Software Foundation, which can be found in the top level directory, or at: -// https://www.gnu.org/licenses/old-licenses/gpl-2.0.en.html +// Free Software Foundation, which can be found in the top level directory of +// the repository (LICENSE_GPL2), and at: // // OR // -// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: -// https://github.com/analogdevicesinc/hdl/blob/dev/LICENSE +// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: +// https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD +// This will allow to generate bit files and not release the source code, +// as long as it attaches to an ADI device. // // *************************************************************************** // *************************************************************************** diff --git a/library/xilinx/axi_dacfifo/axi_dacfifo_rd.v b/library/xilinx/axi_dacfifo/axi_dacfifo_rd.v index e285fbec1..7b6b07717 100644 --- a/library/xilinx/axi_dacfifo/axi_dacfifo_rd.v +++ b/library/xilinx/axi_dacfifo/axi_dacfifo_rd.v @@ -2,21 +2,23 @@ // *************************************************************************** // Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved. // -// Each core or library found in this collection may have its own licensing terms. -// The user should keep this in in mind while exploring these cores. +// This core is distributed in the hope that it will be useful, but WITHOUT ANY +// WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR +// A PARTICULAR PURPOSE. // -// Redistribution and use in source and binary forms, -// with or without modification of this file, are permitted under the terms of either -// (at the option of the user): +// Redistribution and use of source or resulting binaries, with or without modification +// of this file, are permitted under one of the following two license terms: // // 1. The GNU General Public License version 2 as published by the -// Free Software Foundation, which can be found in the top level directory, or at: -// https://www.gnu.org/licenses/old-licenses/gpl-2.0.en.html +// Free Software Foundation, which can be found in the top level directory of +// the repository (LICENSE_GPL2), and at: // // OR // -// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: -// https://github.com/analogdevicesinc/hdl/blob/dev/LICENSE +// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: +// https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD +// This will allow to generate bit files and not release the source code, +// as long as it attaches to an ADI device. // // *************************************************************************** // *************************************************************************** diff --git a/library/xilinx/axi_dacfifo/axi_dacfifo_wr.v b/library/xilinx/axi_dacfifo/axi_dacfifo_wr.v index d820d001b..03f529964 100644 --- a/library/xilinx/axi_dacfifo/axi_dacfifo_wr.v +++ b/library/xilinx/axi_dacfifo/axi_dacfifo_wr.v @@ -2,21 +2,23 @@ // *************************************************************************** // Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved. // -// Each core or library found in this collection may have its own licensing terms. -// The user should keep this in in mind while exploring these cores. +// This core is distributed in the hope that it will be useful, but WITHOUT ANY +// WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR +// A PARTICULAR PURPOSE. // -// Redistribution and use in source and binary forms, -// with or without modification of this file, are permitted under the terms of either -// (at the option of the user): +// Redistribution and use of source or resulting binaries, with or without modification +// of this file, are permitted under one of the following two license terms: // // 1. The GNU General Public License version 2 as published by the -// Free Software Foundation, which can be found in the top level directory, or at: -// https://www.gnu.org/licenses/old-licenses/gpl-2.0.en.html +// Free Software Foundation, which can be found in the top level directory of +// the repository (LICENSE_GPL2), and at: // // OR // -// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: -// https://github.com/analogdevicesinc/hdl/blob/dev/LICENSE +// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: +// https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD +// This will allow to generate bit files and not release the source code, +// as long as it attaches to an ADI device. // // *************************************************************************** // *************************************************************************** diff --git a/library/xilinx/axi_xcvrlb/axi_xcvrlb.v b/library/xilinx/axi_xcvrlb/axi_xcvrlb.v index be580662b..7b5559f79 100644 --- a/library/xilinx/axi_xcvrlb/axi_xcvrlb.v +++ b/library/xilinx/axi_xcvrlb/axi_xcvrlb.v @@ -2,21 +2,23 @@ // *************************************************************************** // Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved. // -// Each core or library found in this collection may have its own licensing terms. -// The user should keep this in in mind while exploring these cores. +// This core is distributed in the hope that it will be useful, but WITHOUT ANY +// WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR +// A PARTICULAR PURPOSE. // -// Redistribution and use in source and binary forms, -// with or without modification of this file, are permitted under the terms of either -// (at the option of the user): +// Redistribution and use of source or resulting binaries, with or without modification +// of this file, are permitted under one of the following two license terms: // // 1. The GNU General Public License version 2 as published by the -// Free Software Foundation, which can be found in the top level directory, or at: -// https://www.gnu.org/licenses/old-licenses/gpl-2.0.en.html +// Free Software Foundation, which can be found in the top level directory of +// the repository (LICENSE_GPL2), and at: // // OR // -// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: -// https://github.com/analogdevicesinc/hdl/blob/dev/LICENSE +// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: +// https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD +// This will allow to generate bit files and not release the source code, +// as long as it attaches to an ADI device. // // *************************************************************************** // *************************************************************************** diff --git a/library/xilinx/axi_xcvrlb/axi_xcvrlb_1.v b/library/xilinx/axi_xcvrlb/axi_xcvrlb_1.v index f3d4d67dc..377955ae4 100644 --- a/library/xilinx/axi_xcvrlb/axi_xcvrlb_1.v +++ b/library/xilinx/axi_xcvrlb/axi_xcvrlb_1.v @@ -2,21 +2,23 @@ // *************************************************************************** // Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved. // -// Each core or library found in this collection may have its own licensing terms. -// The user should keep this in in mind while exploring these cores. +// This core is distributed in the hope that it will be useful, but WITHOUT ANY +// WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR +// A PARTICULAR PURPOSE. // -// Redistribution and use in source and binary forms, -// with or without modification of this file, are permitted under the terms of either -// (at the option of the user): +// Redistribution and use of source or resulting binaries, with or without modification +// of this file, are permitted under one of the following two license terms: // // 1. The GNU General Public License version 2 as published by the -// Free Software Foundation, which can be found in the top level directory, or at: -// https://www.gnu.org/licenses/old-licenses/gpl-2.0.en.html +// Free Software Foundation, which can be found in the top level directory of +// the repository (LICENSE_GPL2), and at: // // OR // -// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: -// https://github.com/analogdevicesinc/hdl/blob/dev/LICENSE +// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: +// https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD +// This will allow to generate bit files and not release the source code, +// as long as it attaches to an ADI device. // // *************************************************************************** // *************************************************************************** diff --git a/library/xilinx/common/ad_cmos_clk.v b/library/xilinx/common/ad_cmos_clk.v index a124c5877..14771645b 100644 --- a/library/xilinx/common/ad_cmos_clk.v +++ b/library/xilinx/common/ad_cmos_clk.v @@ -2,21 +2,23 @@ // *************************************************************************** // Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved. // -// Each core or library found in this collection may have its own licensing terms. -// The user should keep this in in mind while exploring these cores. +// This core is distributed in the hope that it will be useful, but WITHOUT ANY +// WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR +// A PARTICULAR PURPOSE. // -// Redistribution and use in source and binary forms, -// with or without modification of this file, are permitted under the terms of either -// (at the option of the user): +// Redistribution and use of source or resulting binaries, with or without modification +// of this file, are permitted under one of the following two license terms: // // 1. The GNU General Public License version 2 as published by the -// Free Software Foundation, which can be found in the top level directory, or at: -// https://www.gnu.org/licenses/old-licenses/gpl-2.0.en.html +// Free Software Foundation, which can be found in the top level directory of +// the repository (LICENSE_GPL2), and at: // // OR // -// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: -// https://github.com/analogdevicesinc/hdl/blob/dev/LICENSE +// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: +// https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD +// This will allow to generate bit files and not release the source code, +// as long as it attaches to an ADI device. // // *************************************************************************** // *************************************************************************** diff --git a/library/xilinx/common/ad_cmos_in.v b/library/xilinx/common/ad_cmos_in.v index 10717643c..f789c7d35 100644 --- a/library/xilinx/common/ad_cmos_in.v +++ b/library/xilinx/common/ad_cmos_in.v @@ -2,21 +2,23 @@ // *************************************************************************** // Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved. // -// Each core or library found in this collection may have its own licensing terms. -// The user should keep this in in mind while exploring these cores. +// This core is distributed in the hope that it will be useful, but WITHOUT ANY +// WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR +// A PARTICULAR PURPOSE. // -// Redistribution and use in source and binary forms, -// with or without modification of this file, are permitted under the terms of either -// (at the option of the user): +// Redistribution and use of source or resulting binaries, with or without modification +// of this file, are permitted under one of the following two license terms: // // 1. The GNU General Public License version 2 as published by the -// Free Software Foundation, which can be found in the top level directory, or at: -// https://www.gnu.org/licenses/old-licenses/gpl-2.0.en.html +// Free Software Foundation, which can be found in the top level directory of +// the repository (LICENSE_GPL2), and at: // // OR // -// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: -// https://github.com/analogdevicesinc/hdl/blob/dev/LICENSE +// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: +// https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD +// This will allow to generate bit files and not release the source code, +// as long as it attaches to an ADI device. // // *************************************************************************** // *************************************************************************** diff --git a/library/xilinx/common/ad_cmos_out.v b/library/xilinx/common/ad_cmos_out.v index ea2ee158e..4794234e4 100644 --- a/library/xilinx/common/ad_cmos_out.v +++ b/library/xilinx/common/ad_cmos_out.v @@ -2,21 +2,23 @@ // *************************************************************************** // Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved. // -// Each core or library found in this collection may have its own licensing terms. -// The user should keep this in in mind while exploring these cores. +// This core is distributed in the hope that it will be useful, but WITHOUT ANY +// WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR +// A PARTICULAR PURPOSE. // -// Redistribution and use in source and binary forms, -// with or without modification of this file, are permitted under the terms of either -// (at the option of the user): +// Redistribution and use of source or resulting binaries, with or without modification +// of this file, are permitted under one of the following two license terms: // // 1. The GNU General Public License version 2 as published by the -// Free Software Foundation, which can be found in the top level directory, or at: -// https://www.gnu.org/licenses/old-licenses/gpl-2.0.en.html +// Free Software Foundation, which can be found in the top level directory of +// the repository (LICENSE_GPL2), and at: // // OR // -// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: -// https://github.com/analogdevicesinc/hdl/blob/dev/LICENSE +// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: +// https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD +// This will allow to generate bit files and not release the source code, +// as long as it attaches to an ADI device. // // *************************************************************************** // *************************************************************************** diff --git a/library/xilinx/common/ad_iobuf.v b/library/xilinx/common/ad_iobuf.v index 05eb9f5f1..00b5f170a 100644 --- a/library/xilinx/common/ad_iobuf.v +++ b/library/xilinx/common/ad_iobuf.v @@ -2,21 +2,23 @@ // *************************************************************************** // Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved. // -// Each core or library found in this collection may have its own licensing terms. -// The user should keep this in in mind while exploring these cores. +// This core is distributed in the hope that it will be useful, but WITHOUT ANY +// WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR +// A PARTICULAR PURPOSE. // -// Redistribution and use in source and binary forms, -// with or without modification of this file, are permitted under the terms of either -// (at the option of the user): +// Redistribution and use of source or resulting binaries, with or without modification +// of this file, are permitted under one of the following two license terms: // // 1. The GNU General Public License version 2 as published by the -// Free Software Foundation, which can be found in the top level directory, or at: -// https://www.gnu.org/licenses/old-licenses/gpl-2.0.en.html +// Free Software Foundation, which can be found in the top level directory of +// the repository (LICENSE_GPL2), and at: // // OR // -// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: -// https://github.com/analogdevicesinc/hdl/blob/dev/LICENSE +// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: +// https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD +// This will allow to generate bit files and not release the source code, +// as long as it attaches to an ADI device. // // *************************************************************************** // *************************************************************************** diff --git a/library/xilinx/common/ad_lvds_clk.v b/library/xilinx/common/ad_lvds_clk.v index b31bae984..da4051dca 100644 --- a/library/xilinx/common/ad_lvds_clk.v +++ b/library/xilinx/common/ad_lvds_clk.v @@ -2,21 +2,23 @@ // *************************************************************************** // Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved. // -// Each core or library found in this collection may have its own licensing terms. -// The user should keep this in in mind while exploring these cores. +// This core is distributed in the hope that it will be useful, but WITHOUT ANY +// WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR +// A PARTICULAR PURPOSE. // -// Redistribution and use in source and binary forms, -// with or without modification of this file, are permitted under the terms of either -// (at the option of the user): +// Redistribution and use of source or resulting binaries, with or without modification +// of this file, are permitted under one of the following two license terms: // // 1. The GNU General Public License version 2 as published by the -// Free Software Foundation, which can be found in the top level directory, or at: -// https://www.gnu.org/licenses/old-licenses/gpl-2.0.en.html +// Free Software Foundation, which can be found in the top level directory of +// the repository (LICENSE_GPL2), and at: // // OR // -// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: -// https://github.com/analogdevicesinc/hdl/blob/dev/LICENSE +// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: +// https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD +// This will allow to generate bit files and not release the source code, +// as long as it attaches to an ADI device. // // *************************************************************************** // *************************************************************************** diff --git a/library/xilinx/common/ad_lvds_in.v b/library/xilinx/common/ad_lvds_in.v index bd7669cbd..36974ef46 100644 --- a/library/xilinx/common/ad_lvds_in.v +++ b/library/xilinx/common/ad_lvds_in.v @@ -2,21 +2,23 @@ // *************************************************************************** // Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved. // -// Each core or library found in this collection may have its own licensing terms. -// The user should keep this in in mind while exploring these cores. +// This core is distributed in the hope that it will be useful, but WITHOUT ANY +// WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR +// A PARTICULAR PURPOSE. // -// Redistribution and use in source and binary forms, -// with or without modification of this file, are permitted under the terms of either -// (at the option of the user): +// Redistribution and use of source or resulting binaries, with or without modification +// of this file, are permitted under one of the following two license terms: // // 1. The GNU General Public License version 2 as published by the -// Free Software Foundation, which can be found in the top level directory, or at: -// https://www.gnu.org/licenses/old-licenses/gpl-2.0.en.html +// Free Software Foundation, which can be found in the top level directory of +// the repository (LICENSE_GPL2), and at: // // OR // -// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: -// https://github.com/analogdevicesinc/hdl/blob/dev/LICENSE +// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: +// https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD +// This will allow to generate bit files and not release the source code, +// as long as it attaches to an ADI device. // // *************************************************************************** // *************************************************************************** diff --git a/library/xilinx/common/ad_lvds_out.v b/library/xilinx/common/ad_lvds_out.v index 6de18b8f1..7f81a04ca 100644 --- a/library/xilinx/common/ad_lvds_out.v +++ b/library/xilinx/common/ad_lvds_out.v @@ -2,21 +2,23 @@ // *************************************************************************** // Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved. // -// Each core or library found in this collection may have its own licensing terms. -// The user should keep this in in mind while exploring these cores. +// This core is distributed in the hope that it will be useful, but WITHOUT ANY +// WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR +// A PARTICULAR PURPOSE. // -// Redistribution and use in source and binary forms, -// with or without modification of this file, are permitted under the terms of either -// (at the option of the user): +// Redistribution and use of source or resulting binaries, with or without modification +// of this file, are permitted under one of the following two license terms: // // 1. The GNU General Public License version 2 as published by the -// Free Software Foundation, which can be found in the top level directory, or at: -// https://www.gnu.org/licenses/old-licenses/gpl-2.0.en.html +// Free Software Foundation, which can be found in the top level directory of +// the repository (LICENSE_GPL2), and at: // // OR // -// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: -// https://github.com/analogdevicesinc/hdl/blob/dev/LICENSE +// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: +// https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD +// This will allow to generate bit files and not release the source code, +// as long as it attaches to an ADI device. // // *************************************************************************** // *************************************************************************** diff --git a/library/xilinx/common/ad_mmcm_drp.v b/library/xilinx/common/ad_mmcm_drp.v index 0962a5a33..0d51efbae 100644 --- a/library/xilinx/common/ad_mmcm_drp.v +++ b/library/xilinx/common/ad_mmcm_drp.v @@ -2,21 +2,23 @@ // *************************************************************************** // Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved. // -// Each core or library found in this collection may have its own licensing terms. -// The user should keep this in in mind while exploring these cores. +// This core is distributed in the hope that it will be useful, but WITHOUT ANY +// WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR +// A PARTICULAR PURPOSE. // -// Redistribution and use in source and binary forms, -// with or without modification of this file, are permitted under the terms of either -// (at the option of the user): +// Redistribution and use of source or resulting binaries, with or without modification +// of this file, are permitted under one of the following two license terms: // // 1. The GNU General Public License version 2 as published by the -// Free Software Foundation, which can be found in the top level directory, or at: -// https://www.gnu.org/licenses/old-licenses/gpl-2.0.en.html +// Free Software Foundation, which can be found in the top level directory of +// the repository (LICENSE_GPL2), and at: // // OR // -// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: -// https://github.com/analogdevicesinc/hdl/blob/dev/LICENSE +// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: +// https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD +// This will allow to generate bit files and not release the source code, +// as long as it attaches to an ADI device. // // *************************************************************************** // *************************************************************************** diff --git a/library/xilinx/common/ad_mul.v b/library/xilinx/common/ad_mul.v index ed95b194f..1cf8b374c 100644 --- a/library/xilinx/common/ad_mul.v +++ b/library/xilinx/common/ad_mul.v @@ -2,21 +2,23 @@ // *************************************************************************** // Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved. // -// Each core or library found in this collection may have its own licensing terms. -// The user should keep this in in mind while exploring these cores. +// This core is distributed in the hope that it will be useful, but WITHOUT ANY +// WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR +// A PARTICULAR PURPOSE. // -// Redistribution and use in source and binary forms, -// with or without modification of this file, are permitted under the terms of either -// (at the option of the user): +// Redistribution and use of source or resulting binaries, with or without modification +// of this file, are permitted under one of the following two license terms: // // 1. The GNU General Public License version 2 as published by the -// Free Software Foundation, which can be found in the top level directory, or at: -// https://www.gnu.org/licenses/old-licenses/gpl-2.0.en.html +// Free Software Foundation, which can be found in the top level directory of +// the repository (LICENSE_GPL2), and at: // // OR // -// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: -// https://github.com/analogdevicesinc/hdl/blob/dev/LICENSE +// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: +// https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD +// This will allow to generate bit files and not release the source code, +// as long as it attaches to an ADI device. // // *************************************************************************** // *************************************************************************** diff --git a/library/xilinx/common/ad_serdes_clk.v b/library/xilinx/common/ad_serdes_clk.v index 0e220a78e..bf4a6fdb7 100644 --- a/library/xilinx/common/ad_serdes_clk.v +++ b/library/xilinx/common/ad_serdes_clk.v @@ -2,21 +2,23 @@ // *************************************************************************** // Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved. // -// Each core or library found in this collection may have its own licensing terms. -// The user should keep this in in mind while exploring these cores. +// This core is distributed in the hope that it will be useful, but WITHOUT ANY +// WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR +// A PARTICULAR PURPOSE. // -// Redistribution and use in source and binary forms, -// with or without modification of this file, are permitted under the terms of either -// (at the option of the user): +// Redistribution and use of source or resulting binaries, with or without modification +// of this file, are permitted under one of the following two license terms: // // 1. The GNU General Public License version 2 as published by the -// Free Software Foundation, which can be found in the top level directory, or at: -// https://www.gnu.org/licenses/old-licenses/gpl-2.0.en.html +// Free Software Foundation, which can be found in the top level directory of +// the repository (LICENSE_GPL2), and at: // // OR // -// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: -// https://github.com/analogdevicesinc/hdl/blob/dev/LICENSE +// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: +// https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD +// This will allow to generate bit files and not release the source code, +// as long as it attaches to an ADI device. // // *************************************************************************** // *************************************************************************** diff --git a/library/xilinx/common/ad_serdes_in.v b/library/xilinx/common/ad_serdes_in.v index 5cd73058b..8d3fe8ed9 100644 --- a/library/xilinx/common/ad_serdes_in.v +++ b/library/xilinx/common/ad_serdes_in.v @@ -2,21 +2,23 @@ // *************************************************************************** // Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved. // -// Each core or library found in this collection may have its own licensing terms. -// The user should keep this in in mind while exploring these cores. +// This core is distributed in the hope that it will be useful, but WITHOUT ANY +// WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR +// A PARTICULAR PURPOSE. // -// Redistribution and use in source and binary forms, -// with or without modification of this file, are permitted under the terms of either -// (at the option of the user): +// Redistribution and use of source or resulting binaries, with or without modification +// of this file, are permitted under one of the following two license terms: // // 1. The GNU General Public License version 2 as published by the -// Free Software Foundation, which can be found in the top level directory, or at: -// https://www.gnu.org/licenses/old-licenses/gpl-2.0.en.html +// Free Software Foundation, which can be found in the top level directory of +// the repository (LICENSE_GPL2), and at: // // OR // -// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: -// https://github.com/analogdevicesinc/hdl/blob/dev/LICENSE +// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: +// https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD +// This will allow to generate bit files and not release the source code, +// as long as it attaches to an ADI device. // // *************************************************************************** // *************************************************************************** diff --git a/library/xilinx/common/ad_serdes_out.v b/library/xilinx/common/ad_serdes_out.v index 50dff5dd0..e22a6b706 100644 --- a/library/xilinx/common/ad_serdes_out.v +++ b/library/xilinx/common/ad_serdes_out.v @@ -2,21 +2,23 @@ // *************************************************************************** // Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved. // -// Each core or library found in this collection may have its own licensing terms. -// The user should keep this in in mind while exploring these cores. +// This core is distributed in the hope that it will be useful, but WITHOUT ANY +// WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR +// A PARTICULAR PURPOSE. // -// Redistribution and use in source and binary forms, -// with or without modification of this file, are permitted under the terms of either -// (at the option of the user): +// Redistribution and use of source or resulting binaries, with or without modification +// of this file, are permitted under one of the following two license terms: // // 1. The GNU General Public License version 2 as published by the -// Free Software Foundation, which can be found in the top level directory, or at: -// https://www.gnu.org/licenses/old-licenses/gpl-2.0.en.html +// Free Software Foundation, which can be found in the top level directory of +// the repository (LICENSE_GPL2), and at: // // OR // -// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: -// https://github.com/analogdevicesinc/hdl/blob/dev/LICENSE +// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: +// https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD +// This will allow to generate bit files and not release the source code, +// as long as it attaches to an ADI device. // // *************************************************************************** // *************************************************************************** diff --git a/library/xilinx/util_adxcvr/util_adxcvr.v b/library/xilinx/util_adxcvr/util_adxcvr.v index c238bcbcc..df90dfb0b 100644 --- a/library/xilinx/util_adxcvr/util_adxcvr.v +++ b/library/xilinx/util_adxcvr/util_adxcvr.v @@ -2,21 +2,23 @@ // *************************************************************************** // Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved. // -// Each core or library found in this collection may have its own licensing terms. -// The user should keep this in in mind while exploring these cores. +// This core is distributed in the hope that it will be useful, but WITHOUT ANY +// WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR +// A PARTICULAR PURPOSE. // -// Redistribution and use in source and binary forms, -// with or without modification of this file, are permitted under the terms of either -// (at the option of the user): +// Redistribution and use of source or resulting binaries, with or without modification +// of this file, are permitted under one of the following two license terms: // // 1. The GNU General Public License version 2 as published by the -// Free Software Foundation, which can be found in the top level directory, or at: -// https://www.gnu.org/licenses/old-licenses/gpl-2.0.en.html +// Free Software Foundation, which can be found in the top level directory of +// the repository (LICENSE_GPL2), and at: // // OR // -// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: -// https://github.com/analogdevicesinc/hdl/blob/dev/LICENSE +// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: +// https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD +// This will allow to generate bit files and not release the source code, +// as long as it attaches to an ADI device. // // *************************************************************************** // *************************************************************************** diff --git a/library/xilinx/util_adxcvr/util_adxcvr_xch.v b/library/xilinx/util_adxcvr/util_adxcvr_xch.v index 6901242e9..b9795d2d1 100644 --- a/library/xilinx/util_adxcvr/util_adxcvr_xch.v +++ b/library/xilinx/util_adxcvr/util_adxcvr_xch.v @@ -2,21 +2,23 @@ // *************************************************************************** // Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved. // -// Each core or library found in this collection may have its own licensing terms. -// The user should keep this in in mind while exploring these cores. +// This core is distributed in the hope that it will be useful, but WITHOUT ANY +// WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR +// A PARTICULAR PURPOSE. // -// Redistribution and use in source and binary forms, -// with or without modification of this file, are permitted under the terms of either -// (at the option of the user): +// Redistribution and use of source or resulting binaries, with or without modification +// of this file, are permitted under one of the following two license terms: // // 1. The GNU General Public License version 2 as published by the -// Free Software Foundation, which can be found in the top level directory, or at: -// https://www.gnu.org/licenses/old-licenses/gpl-2.0.en.html +// Free Software Foundation, which can be found in the top level directory of +// the repository (LICENSE_GPL2), and at: // // OR // -// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: -// https://github.com/analogdevicesinc/hdl/blob/dev/LICENSE +// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: +// https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD +// This will allow to generate bit files and not release the source code, +// as long as it attaches to an ADI device. // // *************************************************************************** // *************************************************************************** diff --git a/library/xilinx/util_adxcvr/util_adxcvr_xcm.v b/library/xilinx/util_adxcvr/util_adxcvr_xcm.v index e647950ae..877e5df0b 100644 --- a/library/xilinx/util_adxcvr/util_adxcvr_xcm.v +++ b/library/xilinx/util_adxcvr/util_adxcvr_xcm.v @@ -2,21 +2,23 @@ // *************************************************************************** // Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved. // -// Each core or library found in this collection may have its own licensing terms. -// The user should keep this in in mind while exploring these cores. +// This core is distributed in the hope that it will be useful, but WITHOUT ANY +// WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR +// A PARTICULAR PURPOSE. // -// Redistribution and use in source and binary forms, -// with or without modification of this file, are permitted under the terms of either -// (at the option of the user): +// Redistribution and use of source or resulting binaries, with or without modification +// of this file, are permitted under one of the following two license terms: // // 1. The GNU General Public License version 2 as published by the -// Free Software Foundation, which can be found in the top level directory, or at: -// https://www.gnu.org/licenses/old-licenses/gpl-2.0.en.html +// Free Software Foundation, which can be found in the top level directory of +// the repository (LICENSE_GPL2), and at: // // OR // -// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: -// https://github.com/analogdevicesinc/hdl/blob/dev/LICENSE +// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: +// https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD +// This will allow to generate bit files and not release the source code, +// as long as it attaches to an ADI device. // // *************************************************************************** // *************************************************************************** diff --git a/projects/ad5766_sdz/zed/system_top.v b/projects/ad5766_sdz/zed/system_top.v index e3d5bc980..52c673cdf 100644 --- a/projects/ad5766_sdz/zed/system_top.v +++ b/projects/ad5766_sdz/zed/system_top.v @@ -2,21 +2,23 @@ // *************************************************************************** // Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved. // -// Each core or library found in this collection may have its own licensing terms. -// The user should keep this in in mind while exploring these cores. +// This core is distributed in the hope that it will be useful, but WITHOUT ANY +// WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR +// A PARTICULAR PURPOSE. // -// Redistribution and use in source and binary forms, -// with or without modification of this file, are permitted under the terms of either -// (at the option of the user): +// Redistribution and use of source or resulting binaries, with or without modification +// of this file, are permitted under one of the following two license terms: // // 1. The GNU General Public License version 2 as published by the -// Free Software Foundation, which can be found in the top level directory, or at: -// https://www.gnu.org/licenses/old-licenses/gpl-2.0.en.html +// Free Software Foundation, which can be found in the top level directory of +// the repository (LICENSE_GPL2), and at: // // OR // -// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: -// https://github.com/analogdevicesinc/hdl/blob/dev/LICENSE +// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: +// https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD +// This will allow to generate bit files and not release the source code, +// as long as it attaches to an ADI device. // // *************************************************************************** // *************************************************************************** diff --git a/projects/ad6676evb/vc707/system_top.v b/projects/ad6676evb/vc707/system_top.v index 8bec893ce..066bf5111 100644 --- a/projects/ad6676evb/vc707/system_top.v +++ b/projects/ad6676evb/vc707/system_top.v @@ -2,21 +2,23 @@ // *************************************************************************** // Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved. // -// Each core or library found in this collection may have its own licensing terms. -// The user should keep this in in mind while exploring these cores. +// This core is distributed in the hope that it will be useful, but WITHOUT ANY +// WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR +// A PARTICULAR PURPOSE. // -// Redistribution and use in source and binary forms, -// with or without modification of this file, are permitted under the terms of either -// (at the option of the user): +// Redistribution and use of source or resulting binaries, with or without modification +// of this file, are permitted under one of the following two license terms: // // 1. The GNU General Public License version 2 as published by the -// Free Software Foundation, which can be found in the top level directory, or at: -// https://www.gnu.org/licenses/old-licenses/gpl-2.0.en.html +// Free Software Foundation, which can be found in the top level directory of +// the repository (LICENSE_GPL2), and at: // // OR // -// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: -// https://github.com/analogdevicesinc/hdl/blob/dev/LICENSE +// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: +// https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD +// This will allow to generate bit files and not release the source code, +// as long as it attaches to an ADI device. // // *************************************************************************** // *************************************************************************** diff --git a/projects/ad6676evb/zc706/system_top.v b/projects/ad6676evb/zc706/system_top.v index 9d336e8e5..2ce43b8cb 100644 --- a/projects/ad6676evb/zc706/system_top.v +++ b/projects/ad6676evb/zc706/system_top.v @@ -2,21 +2,23 @@ // *************************************************************************** // Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved. // -// Each core or library found in this collection may have its own licensing terms. -// The user should keep this in in mind while exploring these cores. +// This core is distributed in the hope that it will be useful, but WITHOUT ANY +// WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR +// A PARTICULAR PURPOSE. // -// Redistribution and use in source and binary forms, -// with or without modification of this file, are permitted under the terms of either -// (at the option of the user): +// Redistribution and use of source or resulting binaries, with or without modification +// of this file, are permitted under one of the following two license terms: // // 1. The GNU General Public License version 2 as published by the -// Free Software Foundation, which can be found in the top level directory, or at: -// https://www.gnu.org/licenses/old-licenses/gpl-2.0.en.html +// Free Software Foundation, which can be found in the top level directory of +// the repository (LICENSE_GPL2), and at: // // OR // -// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: -// https://github.com/analogdevicesinc/hdl/blob/dev/LICENSE +// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: +// https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD +// This will allow to generate bit files and not release the source code, +// as long as it attaches to an ADI device. // // *************************************************************************** // *************************************************************************** diff --git a/projects/ad7616_sdz/zc706/system_top_pi.v b/projects/ad7616_sdz/zc706/system_top_pi.v index bf095c15c..004ace2eb 100644 --- a/projects/ad7616_sdz/zc706/system_top_pi.v +++ b/projects/ad7616_sdz/zc706/system_top_pi.v @@ -2,21 +2,23 @@ // *************************************************************************** // Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved. // -// Each core or library found in this collection may have its own licensing terms. -// The user should keep this in in mind while exploring these cores. +// This core is distributed in the hope that it will be useful, but WITHOUT ANY +// WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR +// A PARTICULAR PURPOSE. // -// Redistribution and use in source and binary forms, -// with or without modification of this file, are permitted under the terms of either -// (at the option of the user): +// Redistribution and use of source or resulting binaries, with or without modification +// of this file, are permitted under one of the following two license terms: // // 1. The GNU General Public License version 2 as published by the -// Free Software Foundation, which can be found in the top level directory, or at: -// https://www.gnu.org/licenses/old-licenses/gpl-2.0.en.html +// Free Software Foundation, which can be found in the top level directory of +// the repository (LICENSE_GPL2), and at: // // OR // -// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: -// https://github.com/analogdevicesinc/hdl/blob/dev/LICENSE +// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: +// https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD +// This will allow to generate bit files and not release the source code, +// as long as it attaches to an ADI device. // // *************************************************************************** // *************************************************************************** diff --git a/projects/ad7616_sdz/zc706/system_top_si.v b/projects/ad7616_sdz/zc706/system_top_si.v index c7ecbd083..8abdc758a 100644 --- a/projects/ad7616_sdz/zc706/system_top_si.v +++ b/projects/ad7616_sdz/zc706/system_top_si.v @@ -2,21 +2,23 @@ // *************************************************************************** // Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved. // -// Each core or library found in this collection may have its own licensing terms. -// The user should keep this in in mind while exploring these cores. +// This core is distributed in the hope that it will be useful, but WITHOUT ANY +// WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR +// A PARTICULAR PURPOSE. // -// Redistribution and use in source and binary forms, -// with or without modification of this file, are permitted under the terms of either -// (at the option of the user): +// Redistribution and use of source or resulting binaries, with or without modification +// of this file, are permitted under one of the following two license terms: // // 1. The GNU General Public License version 2 as published by the -// Free Software Foundation, which can be found in the top level directory, or at: -// https://www.gnu.org/licenses/old-licenses/gpl-2.0.en.html +// Free Software Foundation, which can be found in the top level directory of +// the repository (LICENSE_GPL2), and at: // // OR // -// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: -// https://github.com/analogdevicesinc/hdl/blob/dev/LICENSE +// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: +// https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD +// This will allow to generate bit files and not release the source code, +// as long as it attaches to an ADI device. // // *************************************************************************** // *************************************************************************** diff --git a/projects/ad7616_sdz/zed/system_top_pi.v b/projects/ad7616_sdz/zed/system_top_pi.v index 8e446502f..856e5123d 100644 --- a/projects/ad7616_sdz/zed/system_top_pi.v +++ b/projects/ad7616_sdz/zed/system_top_pi.v @@ -2,21 +2,23 @@ // *************************************************************************** // Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved. // -// Each core or library found in this collection may have its own licensing terms. -// The user should keep this in in mind while exploring these cores. +// This core is distributed in the hope that it will be useful, but WITHOUT ANY +// WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR +// A PARTICULAR PURPOSE. // -// Redistribution and use in source and binary forms, -// with or without modification of this file, are permitted under the terms of either -// (at the option of the user): +// Redistribution and use of source or resulting binaries, with or without modification +// of this file, are permitted under one of the following two license terms: // // 1. The GNU General Public License version 2 as published by the -// Free Software Foundation, which can be found in the top level directory, or at: -// https://www.gnu.org/licenses/old-licenses/gpl-2.0.en.html +// Free Software Foundation, which can be found in the top level directory of +// the repository (LICENSE_GPL2), and at: // // OR // -// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: -// https://github.com/analogdevicesinc/hdl/blob/dev/LICENSE +// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: +// https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD +// This will allow to generate bit files and not release the source code, +// as long as it attaches to an ADI device. // // *************************************************************************** // *************************************************************************** diff --git a/projects/ad7616_sdz/zed/system_top_si.v b/projects/ad7616_sdz/zed/system_top_si.v index 84dc4b4b4..b7a39150d 100644 --- a/projects/ad7616_sdz/zed/system_top_si.v +++ b/projects/ad7616_sdz/zed/system_top_si.v @@ -2,21 +2,23 @@ // *************************************************************************** // Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved. // -// Each core or library found in this collection may have its own licensing terms. -// The user should keep this in in mind while exploring these cores. +// This core is distributed in the hope that it will be useful, but WITHOUT ANY +// WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR +// A PARTICULAR PURPOSE. // -// Redistribution and use in source and binary forms, -// with or without modification of this file, are permitted under the terms of either -// (at the option of the user): +// Redistribution and use of source or resulting binaries, with or without modification +// of this file, are permitted under one of the following two license terms: // // 1. The GNU General Public License version 2 as published by the -// Free Software Foundation, which can be found in the top level directory, or at: -// https://www.gnu.org/licenses/old-licenses/gpl-2.0.en.html +// Free Software Foundation, which can be found in the top level directory of +// the repository (LICENSE_GPL2), and at: // // OR // -// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: -// https://github.com/analogdevicesinc/hdl/blob/dev/LICENSE +// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: +// https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD +// This will allow to generate bit files and not release the source code, +// as long as it attaches to an ADI device. // // *************************************************************************** // *************************************************************************** diff --git a/projects/ad77681evb/zed/system_top.v b/projects/ad77681evb/zed/system_top.v index 9aee56374..8cf657871 100644 --- a/projects/ad77681evb/zed/system_top.v +++ b/projects/ad77681evb/zed/system_top.v @@ -2,21 +2,23 @@ // *************************************************************************** // Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved. // -// Each core or library found in this collection may have its own licensing terms. -// The user should keep this in in mind while exploring these cores. +// This core is distributed in the hope that it will be useful, but WITHOUT ANY +// WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR +// A PARTICULAR PURPOSE. // -// Redistribution and use in source and binary forms, -// with or without modification of this file, are permitted under the terms of either -// (at the option of the user): +// Redistribution and use of source or resulting binaries, with or without modification +// of this file, are permitted under one of the following two license terms: // // 1. The GNU General Public License version 2 as published by the -// Free Software Foundation, which can be found in the top level directory, or at: -// https://www.gnu.org/licenses/old-licenses/gpl-2.0.en.html +// Free Software Foundation, which can be found in the top level directory of +// the repository (LICENSE_GPL2), and at: // // OR // -// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: -// https://github.com/analogdevicesinc/hdl/blob/dev/LICENSE +// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: +// https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD +// This will allow to generate bit files and not release the source code, +// as long as it attaches to an ADI device. // // *************************************************************************** // *************************************************************************** diff --git a/projects/ad7768evb/common/ad7768_if.v b/projects/ad7768evb/common/ad7768_if.v index eeb0b3e52..940f7d350 100644 --- a/projects/ad7768evb/common/ad7768_if.v +++ b/projects/ad7768evb/common/ad7768_if.v @@ -2,21 +2,23 @@ // *************************************************************************** // Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved. // -// Each core or library found in this collection may have its own licensing terms. -// The user should keep this in in mind while exploring these cores. +// This core is distributed in the hope that it will be useful, but WITHOUT ANY +// WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR +// A PARTICULAR PURPOSE. // -// Redistribution and use in source and binary forms, -// with or without modification of this file, are permitted under the terms of either -// (at the option of the user): +// Redistribution and use of source or resulting binaries, with or without modification +// of this file, are permitted under one of the following two license terms: // // 1. The GNU General Public License version 2 as published by the -// Free Software Foundation, which can be found in the top level directory, or at: -// https://www.gnu.org/licenses/old-licenses/gpl-2.0.en.html +// Free Software Foundation, which can be found in the top level directory of +// the repository (LICENSE_GPL2), and at: // // OR // -// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: -// https://github.com/analogdevicesinc/hdl/blob/dev/LICENSE +// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: +// https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD +// This will allow to generate bit files and not release the source code, +// as long as it attaches to an ADI device. // // *************************************************************************** // *************************************************************************** diff --git a/projects/ad7768evb/zed/system_top.v b/projects/ad7768evb/zed/system_top.v index 5618d483d..2dba20269 100644 --- a/projects/ad7768evb/zed/system_top.v +++ b/projects/ad7768evb/zed/system_top.v @@ -2,21 +2,23 @@ // *************************************************************************** // Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved. // -// Each core or library found in this collection may have its own licensing terms. -// The user should keep this in in mind while exploring these cores. +// This core is distributed in the hope that it will be useful, but WITHOUT ANY +// WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR +// A PARTICULAR PURPOSE. // -// Redistribution and use in source and binary forms, -// with or without modification of this file, are permitted under the terms of either -// (at the option of the user): +// Redistribution and use of source or resulting binaries, with or without modification +// of this file, are permitted under one of the following two license terms: // // 1. The GNU General Public License version 2 as published by the -// Free Software Foundation, which can be found in the top level directory, or at: -// https://www.gnu.org/licenses/old-licenses/gpl-2.0.en.html +// Free Software Foundation, which can be found in the top level directory of +// the repository (LICENSE_GPL2), and at: // // OR // -// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: -// https://github.com/analogdevicesinc/hdl/blob/dev/LICENSE +// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: +// https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD +// This will allow to generate bit files and not release the source code, +// as long as it attaches to an ADI device. // // *************************************************************************** // *************************************************************************** diff --git a/projects/ad9265_fmc/common/ad9265_spi.v b/projects/ad9265_fmc/common/ad9265_spi.v index 8062b19e0..b3305e809 100644 --- a/projects/ad9265_fmc/common/ad9265_spi.v +++ b/projects/ad9265_fmc/common/ad9265_spi.v @@ -2,21 +2,23 @@ // *************************************************************************** // Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved. // -// Each core or library found in this collection may have its own licensing terms. -// The user should keep this in in mind while exploring these cores. +// This core is distributed in the hope that it will be useful, but WITHOUT ANY +// WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR +// A PARTICULAR PURPOSE. // -// Redistribution and use in source and binary forms, -// with or without modification of this file, are permitted under the terms of either -// (at the option of the user): +// Redistribution and use of source or resulting binaries, with or without modification +// of this file, are permitted under one of the following two license terms: // // 1. The GNU General Public License version 2 as published by the -// Free Software Foundation, which can be found in the top level directory, or at: -// https://www.gnu.org/licenses/old-licenses/gpl-2.0.en.html +// Free Software Foundation, which can be found in the top level directory of +// the repository (LICENSE_GPL2), and at: // // OR // -// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: -// https://github.com/analogdevicesinc/hdl/blob/dev/LICENSE +// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: +// https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD +// This will allow to generate bit files and not release the source code, +// as long as it attaches to an ADI device. // // *************************************************************************** // *************************************************************************** diff --git a/projects/ad9265_fmc/zc706/system_top.v b/projects/ad9265_fmc/zc706/system_top.v index 5fe96dbb3..40d4f4cc2 100644 --- a/projects/ad9265_fmc/zc706/system_top.v +++ b/projects/ad9265_fmc/zc706/system_top.v @@ -2,21 +2,23 @@ // *************************************************************************** // Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved. // -// Each core or library found in this collection may have its own licensing terms. -// The user should keep this in in mind while exploring these cores. +// This core is distributed in the hope that it will be useful, but WITHOUT ANY +// WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR +// A PARTICULAR PURPOSE. // -// Redistribution and use in source and binary forms, -// with or without modification of this file, are permitted under the terms of either -// (at the option of the user): +// Redistribution and use of source or resulting binaries, with or without modification +// of this file, are permitted under one of the following two license terms: // // 1. The GNU General Public License version 2 as published by the -// Free Software Foundation, which can be found in the top level directory, or at: -// https://www.gnu.org/licenses/old-licenses/gpl-2.0.en.html +// Free Software Foundation, which can be found in the top level directory of +// the repository (LICENSE_GPL2), and at: // // OR // -// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: -// https://github.com/analogdevicesinc/hdl/blob/dev/LICENSE +// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: +// https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD +// This will allow to generate bit files and not release the source code, +// as long as it attaches to an ADI device. // // *************************************************************************** // *************************************************************************** diff --git a/projects/ad9434_fmc/common/ad9434_spi.v b/projects/ad9434_fmc/common/ad9434_spi.v index 588d4a09d..699f349a6 100644 --- a/projects/ad9434_fmc/common/ad9434_spi.v +++ b/projects/ad9434_fmc/common/ad9434_spi.v @@ -2,21 +2,23 @@ // *************************************************************************** // Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved. // -// Each core or library found in this collection may have its own licensing terms. -// The user should keep this in in mind while exploring these cores. +// This core is distributed in the hope that it will be useful, but WITHOUT ANY +// WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR +// A PARTICULAR PURPOSE. // -// Redistribution and use in source and binary forms, -// with or without modification of this file, are permitted under the terms of either -// (at the option of the user): +// Redistribution and use of source or resulting binaries, with or without modification +// of this file, are permitted under one of the following two license terms: // // 1. The GNU General Public License version 2 as published by the -// Free Software Foundation, which can be found in the top level directory, or at: -// https://www.gnu.org/licenses/old-licenses/gpl-2.0.en.html +// Free Software Foundation, which can be found in the top level directory of +// the repository (LICENSE_GPL2), and at: // // OR // -// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: -// https://github.com/analogdevicesinc/hdl/blob/dev/LICENSE +// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: +// https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD +// This will allow to generate bit files and not release the source code, +// as long as it attaches to an ADI device. // // *************************************************************************** // *************************************************************************** diff --git a/projects/ad9434_fmc/zc706/system_top.v b/projects/ad9434_fmc/zc706/system_top.v index a52f43c62..1395d6edf 100644 --- a/projects/ad9434_fmc/zc706/system_top.v +++ b/projects/ad9434_fmc/zc706/system_top.v @@ -2,21 +2,23 @@ // *************************************************************************** // Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved. // -// Each core or library found in this collection may have its own licensing terms. -// The user should keep this in in mind while exploring these cores. +// This core is distributed in the hope that it will be useful, but WITHOUT ANY +// WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR +// A PARTICULAR PURPOSE. // -// Redistribution and use in source and binary forms, -// with or without modification of this file, are permitted under the terms of either -// (at the option of the user): +// Redistribution and use of source or resulting binaries, with or without modification +// of this file, are permitted under one of the following two license terms: // // 1. The GNU General Public License version 2 as published by the -// Free Software Foundation, which can be found in the top level directory, or at: -// https://www.gnu.org/licenses/old-licenses/gpl-2.0.en.html +// Free Software Foundation, which can be found in the top level directory of +// the repository (LICENSE_GPL2), and at: // // OR // -// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: -// https://github.com/analogdevicesinc/hdl/blob/dev/LICENSE +// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: +// https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD +// This will allow to generate bit files and not release the source code, +// as long as it attaches to an ADI device. // // *************************************************************************** // *************************************************************************** diff --git a/projects/ad9467_fmc/common/ad9467_spi.v b/projects/ad9467_fmc/common/ad9467_spi.v index 95aceefdc..1d7eecc1a 100644 --- a/projects/ad9467_fmc/common/ad9467_spi.v +++ b/projects/ad9467_fmc/common/ad9467_spi.v @@ -2,21 +2,23 @@ // *************************************************************************** // Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved. // -// Each core or library found in this collection may have its own licensing terms. -// The user should keep this in in mind while exploring these cores. +// This core is distributed in the hope that it will be useful, but WITHOUT ANY +// WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR +// A PARTICULAR PURPOSE. // -// Redistribution and use in source and binary forms, -// with or without modification of this file, are permitted under the terms of either -// (at the option of the user): +// Redistribution and use of source or resulting binaries, with or without modification +// of this file, are permitted under one of the following two license terms: // // 1. The GNU General Public License version 2 as published by the -// Free Software Foundation, which can be found in the top level directory, or at: -// https://www.gnu.org/licenses/old-licenses/gpl-2.0.en.html +// Free Software Foundation, which can be found in the top level directory of +// the repository (LICENSE_GPL2), and at: // // OR // -// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: -// https://github.com/analogdevicesinc/hdl/blob/dev/LICENSE +// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: +// https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD +// This will allow to generate bit files and not release the source code, +// as long as it attaches to an ADI device. // // *************************************************************************** // *************************************************************************** diff --git a/projects/ad9467_fmc/kc705/system_top.v b/projects/ad9467_fmc/kc705/system_top.v index 0eca9eba1..372ac2381 100644 --- a/projects/ad9467_fmc/kc705/system_top.v +++ b/projects/ad9467_fmc/kc705/system_top.v @@ -2,21 +2,23 @@ // *************************************************************************** // Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved. // -// Each core or library found in this collection may have its own licensing terms. -// The user should keep this in in mind while exploring these cores. +// This core is distributed in the hope that it will be useful, but WITHOUT ANY +// WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR +// A PARTICULAR PURPOSE. // -// Redistribution and use in source and binary forms, -// with or without modification of this file, are permitted under the terms of either -// (at the option of the user): +// Redistribution and use of source or resulting binaries, with or without modification +// of this file, are permitted under one of the following two license terms: // // 1. The GNU General Public License version 2 as published by the -// Free Software Foundation, which can be found in the top level directory, or at: -// https://www.gnu.org/licenses/old-licenses/gpl-2.0.en.html +// Free Software Foundation, which can be found in the top level directory of +// the repository (LICENSE_GPL2), and at: // // OR // -// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: -// https://github.com/analogdevicesinc/hdl/blob/dev/LICENSE +// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: +// https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD +// This will allow to generate bit files and not release the source code, +// as long as it attaches to an ADI device. // // *************************************************************************** // *************************************************************************** diff --git a/projects/ad9467_fmc/zed/system_top.v b/projects/ad9467_fmc/zed/system_top.v index c2133634b..fd144b29a 100644 --- a/projects/ad9467_fmc/zed/system_top.v +++ b/projects/ad9467_fmc/zed/system_top.v @@ -2,21 +2,23 @@ // *************************************************************************** // Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved. // -// Each core or library found in this collection may have its own licensing terms. -// The user should keep this in in mind while exploring these cores. +// This core is distributed in the hope that it will be useful, but WITHOUT ANY +// WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR +// A PARTICULAR PURPOSE. // -// Redistribution and use in source and binary forms, -// with or without modification of this file, are permitted under the terms of either -// (at the option of the user): +// Redistribution and use of source or resulting binaries, with or without modification +// of this file, are permitted under one of the following two license terms: // // 1. The GNU General Public License version 2 as published by the -// Free Software Foundation, which can be found in the top level directory, or at: -// https://www.gnu.org/licenses/old-licenses/gpl-2.0.en.html +// Free Software Foundation, which can be found in the top level directory of +// the repository (LICENSE_GPL2), and at: // // OR // -// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: -// https://github.com/analogdevicesinc/hdl/blob/dev/LICENSE +// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: +// https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD +// This will allow to generate bit files and not release the source code, +// as long as it attaches to an ADI device. // // *************************************************************************** // *************************************************************************** diff --git a/projects/ad9739a_fmc/zc706/system_top.v b/projects/ad9739a_fmc/zc706/system_top.v index 613962325..64ac7f608 100644 --- a/projects/ad9739a_fmc/zc706/system_top.v +++ b/projects/ad9739a_fmc/zc706/system_top.v @@ -2,21 +2,23 @@ // *************************************************************************** // Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved. // -// Each core or library found in this collection may have its own licensing terms. -// The user should keep this in in mind while exploring these cores. +// This core is distributed in the hope that it will be useful, but WITHOUT ANY +// WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR +// A PARTICULAR PURPOSE. // -// Redistribution and use in source and binary forms, -// with or without modification of this file, are permitted under the terms of either -// (at the option of the user): +// Redistribution and use of source or resulting binaries, with or without modification +// of this file, are permitted under one of the following two license terms: // // 1. The GNU General Public License version 2 as published by the -// Free Software Foundation, which can be found in the top level directory, or at: -// https://www.gnu.org/licenses/old-licenses/gpl-2.0.en.html +// Free Software Foundation, which can be found in the top level directory of +// the repository (LICENSE_GPL2), and at: // // OR // -// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: -// https://github.com/analogdevicesinc/hdl/blob/dev/LICENSE +// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: +// https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD +// This will allow to generate bit files and not release the source code, +// as long as it attaches to an ADI device. // // *************************************************************************** // *************************************************************************** diff --git a/projects/adaq7980_sdz/zed/system_top.v b/projects/adaq7980_sdz/zed/system_top.v index 3c630e35f..ec794da19 100644 --- a/projects/adaq7980_sdz/zed/system_top.v +++ b/projects/adaq7980_sdz/zed/system_top.v @@ -2,21 +2,23 @@ // *************************************************************************** // Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved. // -// Each core or library found in this collection may have its own licensing terms. -// The user should keep this in in mind while exploring these cores. +// This core is distributed in the hope that it will be useful, but WITHOUT ANY +// WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR +// A PARTICULAR PURPOSE. // -// Redistribution and use in source and binary forms, -// with or without modification of this file, are permitted under the terms of either -// (at the option of the user): +// Redistribution and use of source or resulting binaries, with or without modification +// of this file, are permitted under one of the following two license terms: // // 1. The GNU General Public License version 2 as published by the -// Free Software Foundation, which can be found in the top level directory, or at: -// https://www.gnu.org/licenses/old-licenses/gpl-2.0.en.html +// Free Software Foundation, which can be found in the top level directory of +// the repository (LICENSE_GPL2), and at: // // OR // -// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: -// https://github.com/analogdevicesinc/hdl/blob/dev/LICENSE +// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: +// https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD +// This will allow to generate bit files and not release the source code, +// as long as it attaches to an ADI device. // // *************************************************************************** // *************************************************************************** diff --git a/projects/adrv9361z7035/ccbob_cmos/system_top.v b/projects/adrv9361z7035/ccbob_cmos/system_top.v index 83fa021d5..f1f2a5bb5 100644 --- a/projects/adrv9361z7035/ccbob_cmos/system_top.v +++ b/projects/adrv9361z7035/ccbob_cmos/system_top.v @@ -2,21 +2,23 @@ // *************************************************************************** // Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved. // -// Each core or library found in this collection may have its own licensing terms. -// The user should keep this in in mind while exploring these cores. +// This core is distributed in the hope that it will be useful, but WITHOUT ANY +// WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR +// A PARTICULAR PURPOSE. // -// Redistribution and use in source and binary forms, -// with or without modification of this file, are permitted under the terms of either -// (at the option of the user): +// Redistribution and use of source or resulting binaries, with or without modification +// of this file, are permitted under one of the following two license terms: // // 1. The GNU General Public License version 2 as published by the -// Free Software Foundation, which can be found in the top level directory, or at: -// https://www.gnu.org/licenses/old-licenses/gpl-2.0.en.html +// Free Software Foundation, which can be found in the top level directory of +// the repository (LICENSE_GPL2), and at: // // OR // -// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: -// https://github.com/analogdevicesinc/hdl/blob/dev/LICENSE +// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: +// https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD +// This will allow to generate bit files and not release the source code, +// as long as it attaches to an ADI device. // // *************************************************************************** // *************************************************************************** diff --git a/projects/adrv9361z7035/ccbob_lvds/system_top.v b/projects/adrv9361z7035/ccbob_lvds/system_top.v index 7d35012d8..d07561d8a 100644 --- a/projects/adrv9361z7035/ccbob_lvds/system_top.v +++ b/projects/adrv9361z7035/ccbob_lvds/system_top.v @@ -2,21 +2,23 @@ // *************************************************************************** // Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved. // -// Each core or library found in this collection may have its own licensing terms. -// The user should keep this in in mind while exploring these cores. +// This core is distributed in the hope that it will be useful, but WITHOUT ANY +// WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR +// A PARTICULAR PURPOSE. // -// Redistribution and use in source and binary forms, -// with or without modification of this file, are permitted under the terms of either -// (at the option of the user): +// Redistribution and use of source or resulting binaries, with or without modification +// of this file, are permitted under one of the following two license terms: // // 1. The GNU General Public License version 2 as published by the -// Free Software Foundation, which can be found in the top level directory, or at: -// https://www.gnu.org/licenses/old-licenses/gpl-2.0.en.html +// Free Software Foundation, which can be found in the top level directory of +// the repository (LICENSE_GPL2), and at: // // OR // -// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: -// https://github.com/analogdevicesinc/hdl/blob/dev/LICENSE +// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: +// https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD +// This will allow to generate bit files and not release the source code, +// as long as it attaches to an ADI device. // // *************************************************************************** // *************************************************************************** diff --git a/projects/adrv9361z7035/ccbox_lvds/system_top.v b/projects/adrv9361z7035/ccbox_lvds/system_top.v index 76fb3c3e2..21f707cbd 100644 --- a/projects/adrv9361z7035/ccbox_lvds/system_top.v +++ b/projects/adrv9361z7035/ccbox_lvds/system_top.v @@ -2,21 +2,23 @@ // *************************************************************************** // Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved. // -// Each core or library found in this collection may have its own licensing terms. -// The user should keep this in in mind while exploring these cores. +// This core is distributed in the hope that it will be useful, but WITHOUT ANY +// WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR +// A PARTICULAR PURPOSE. // -// Redistribution and use in source and binary forms, -// with or without modification of this file, are permitted under the terms of either -// (at the option of the user): +// Redistribution and use of source or resulting binaries, with or without modification +// of this file, are permitted under one of the following two license terms: // // 1. The GNU General Public License version 2 as published by the -// Free Software Foundation, which can be found in the top level directory, or at: -// https://www.gnu.org/licenses/old-licenses/gpl-2.0.en.html +// Free Software Foundation, which can be found in the top level directory of +// the repository (LICENSE_GPL2), and at: // // OR // -// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: -// https://github.com/analogdevicesinc/hdl/blob/dev/LICENSE +// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: +// https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD +// This will allow to generate bit files and not release the source code, +// as long as it attaches to an ADI device. // // *************************************************************************** // *************************************************************************** diff --git a/projects/adrv9361z7035/ccfmc_lvds/system_top.v b/projects/adrv9361z7035/ccfmc_lvds/system_top.v index 4b7343da5..5f8cc3c76 100644 --- a/projects/adrv9361z7035/ccfmc_lvds/system_top.v +++ b/projects/adrv9361z7035/ccfmc_lvds/system_top.v @@ -2,21 +2,23 @@ // *************************************************************************** // Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved. // -// Each core or library found in this collection may have its own licensing terms. -// The user should keep this in in mind while exploring these cores. +// This core is distributed in the hope that it will be useful, but WITHOUT ANY +// WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR +// A PARTICULAR PURPOSE. // -// Redistribution and use in source and binary forms, -// with or without modification of this file, are permitted under the terms of either -// (at the option of the user): +// Redistribution and use of source or resulting binaries, with or without modification +// of this file, are permitted under one of the following two license terms: // // 1. The GNU General Public License version 2 as published by the -// Free Software Foundation, which can be found in the top level directory, or at: -// https://www.gnu.org/licenses/old-licenses/gpl-2.0.en.html +// Free Software Foundation, which can be found in the top level directory of +// the repository (LICENSE_GPL2), and at: // // OR // -// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: -// https://github.com/analogdevicesinc/hdl/blob/dev/LICENSE +// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: +// https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD +// This will allow to generate bit files and not release the source code, +// as long as it attaches to an ADI device. // // *************************************************************************** // *************************************************************************** diff --git a/projects/adrv9361z7035/ccpci_lvds/system_top.v b/projects/adrv9361z7035/ccpci_lvds/system_top.v index 38d281a98..6d5efffa7 100644 --- a/projects/adrv9361z7035/ccpci_lvds/system_top.v +++ b/projects/adrv9361z7035/ccpci_lvds/system_top.v @@ -2,21 +2,23 @@ // *************************************************************************** // Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved. // -// Each core or library found in this collection may have its own licensing terms. -// The user should keep this in in mind while exploring these cores. +// This core is distributed in the hope that it will be useful, but WITHOUT ANY +// WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR +// A PARTICULAR PURPOSE. // -// Redistribution and use in source and binary forms, -// with or without modification of this file, are permitted under the terms of either -// (at the option of the user): +// Redistribution and use of source or resulting binaries, with or without modification +// of this file, are permitted under one of the following two license terms: // // 1. The GNU General Public License version 2 as published by the -// Free Software Foundation, which can be found in the top level directory, or at: -// https://www.gnu.org/licenses/old-licenses/gpl-2.0.en.html +// Free Software Foundation, which can be found in the top level directory of +// the repository (LICENSE_GPL2), and at: // // OR // -// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: -// https://github.com/analogdevicesinc/hdl/blob/dev/LICENSE +// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: +// https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD +// This will allow to generate bit files and not release the source code, +// as long as it attaches to an ADI device. // // *************************************************************************** // *************************************************************************** diff --git a/projects/adrv9361z7035/ccusb_lvds/system_top.v b/projects/adrv9361z7035/ccusb_lvds/system_top.v index 1db924c13..6cd220b7a 100644 --- a/projects/adrv9361z7035/ccusb_lvds/system_top.v +++ b/projects/adrv9361z7035/ccusb_lvds/system_top.v @@ -2,21 +2,23 @@ // *************************************************************************** // Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved. // -// Each core or library found in this collection may have its own licensing terms. -// The user should keep this in in mind while exploring these cores. +// This core is distributed in the hope that it will be useful, but WITHOUT ANY +// WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR +// A PARTICULAR PURPOSE. // -// Redistribution and use in source and binary forms, -// with or without modification of this file, are permitted under the terms of either -// (at the option of the user): +// Redistribution and use of source or resulting binaries, with or without modification +// of this file, are permitted under one of the following two license terms: // // 1. The GNU General Public License version 2 as published by the -// Free Software Foundation, which can be found in the top level directory, or at: -// https://www.gnu.org/licenses/old-licenses/gpl-2.0.en.html +// Free Software Foundation, which can be found in the top level directory of +// the repository (LICENSE_GPL2), and at: // // OR // -// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: -// https://github.com/analogdevicesinc/hdl/blob/dev/LICENSE +// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: +// https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD +// This will allow to generate bit files and not release the source code, +// as long as it attaches to an ADI device. // // *************************************************************************** // *************************************************************************** diff --git a/projects/adrv9364z7020/ccbob_cmos/system_top.v b/projects/adrv9364z7020/ccbob_cmos/system_top.v index be0e8744f..eea0b32bd 100644 --- a/projects/adrv9364z7020/ccbob_cmos/system_top.v +++ b/projects/adrv9364z7020/ccbob_cmos/system_top.v @@ -2,21 +2,23 @@ // *************************************************************************** // Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved. // -// Each core or library found in this collection may have its own licensing terms. -// The user should keep this in in mind while exploring these cores. +// This core is distributed in the hope that it will be useful, but WITHOUT ANY +// WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR +// A PARTICULAR PURPOSE. // -// Redistribution and use in source and binary forms, -// with or without modification of this file, are permitted under the terms of either -// (at the option of the user): +// Redistribution and use of source or resulting binaries, with or without modification +// of this file, are permitted under one of the following two license terms: // // 1. The GNU General Public License version 2 as published by the -// Free Software Foundation, which can be found in the top level directory, or at: -// https://www.gnu.org/licenses/old-licenses/gpl-2.0.en.html +// Free Software Foundation, which can be found in the top level directory of +// the repository (LICENSE_GPL2), and at: // // OR // -// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: -// https://github.com/analogdevicesinc/hdl/blob/dev/LICENSE +// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: +// https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD +// This will allow to generate bit files and not release the source code, +// as long as it attaches to an ADI device. // // *************************************************************************** // *************************************************************************** diff --git a/projects/adrv9364z7020/ccbob_lvds/system_top.v b/projects/adrv9364z7020/ccbob_lvds/system_top.v index b159b7e77..9c25f910a 100644 --- a/projects/adrv9364z7020/ccbob_lvds/system_top.v +++ b/projects/adrv9364z7020/ccbob_lvds/system_top.v @@ -2,21 +2,23 @@ // *************************************************************************** // Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved. // -// Each core or library found in this collection may have its own licensing terms. -// The user should keep this in in mind while exploring these cores. +// This core is distributed in the hope that it will be useful, but WITHOUT ANY +// WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR +// A PARTICULAR PURPOSE. // -// Redistribution and use in source and binary forms, -// with or without modification of this file, are permitted under the terms of either -// (at the option of the user): +// Redistribution and use of source or resulting binaries, with or without modification +// of this file, are permitted under one of the following two license terms: // // 1. The GNU General Public License version 2 as published by the -// Free Software Foundation, which can be found in the top level directory, or at: -// https://www.gnu.org/licenses/old-licenses/gpl-2.0.en.html +// Free Software Foundation, which can be found in the top level directory of +// the repository (LICENSE_GPL2), and at: // // OR // -// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: -// https://github.com/analogdevicesinc/hdl/blob/dev/LICENSE +// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: +// https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD +// This will allow to generate bit files and not release the source code, +// as long as it attaches to an ADI device. // // *************************************************************************** // *************************************************************************** diff --git a/projects/adrv9364z7020/ccbox_lvds/system_top.v b/projects/adrv9364z7020/ccbox_lvds/system_top.v index a7eb39654..ff63ba4ae 100644 --- a/projects/adrv9364z7020/ccbox_lvds/system_top.v +++ b/projects/adrv9364z7020/ccbox_lvds/system_top.v @@ -2,21 +2,23 @@ // *************************************************************************** // Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved. // -// Each core or library found in this collection may have its own licensing terms. -// The user should keep this in in mind while exploring these cores. +// This core is distributed in the hope that it will be useful, but WITHOUT ANY +// WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR +// A PARTICULAR PURPOSE. // -// Redistribution and use in source and binary forms, -// with or without modification of this file, are permitted under the terms of either -// (at the option of the user): +// Redistribution and use of source or resulting binaries, with or without modification +// of this file, are permitted under one of the following two license terms: // // 1. The GNU General Public License version 2 as published by the -// Free Software Foundation, which can be found in the top level directory, or at: -// https://www.gnu.org/licenses/old-licenses/gpl-2.0.en.html +// Free Software Foundation, which can be found in the top level directory of +// the repository (LICENSE_GPL2), and at: // // OR // -// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: -// https://github.com/analogdevicesinc/hdl/blob/dev/LICENSE +// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: +// https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD +// This will allow to generate bit files and not release the source code, +// as long as it attaches to an ADI device. // // *************************************************************************** // *************************************************************************** diff --git a/projects/adrv9364z7020/ccusb_lvds/system_top.v b/projects/adrv9364z7020/ccusb_lvds/system_top.v index 1db924c13..6cd220b7a 100644 --- a/projects/adrv9364z7020/ccusb_lvds/system_top.v +++ b/projects/adrv9364z7020/ccusb_lvds/system_top.v @@ -2,21 +2,23 @@ // *************************************************************************** // Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved. // -// Each core or library found in this collection may have its own licensing terms. -// The user should keep this in in mind while exploring these cores. +// This core is distributed in the hope that it will be useful, but WITHOUT ANY +// WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR +// A PARTICULAR PURPOSE. // -// Redistribution and use in source and binary forms, -// with or without modification of this file, are permitted under the terms of either -// (at the option of the user): +// Redistribution and use of source or resulting binaries, with or without modification +// of this file, are permitted under one of the following two license terms: // // 1. The GNU General Public License version 2 as published by the -// Free Software Foundation, which can be found in the top level directory, or at: -// https://www.gnu.org/licenses/old-licenses/gpl-2.0.en.html +// Free Software Foundation, which can be found in the top level directory of +// the repository (LICENSE_GPL2), and at: // // OR // -// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: -// https://github.com/analogdevicesinc/hdl/blob/dev/LICENSE +// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: +// https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD +// This will allow to generate bit files and not release the source code, +// as long as it attaches to an ADI device. // // *************************************************************************** // *************************************************************************** diff --git a/projects/adrv9371x/a10gx/system_top.v b/projects/adrv9371x/a10gx/system_top.v index e0e8f179e..3f27fe1e2 100644 --- a/projects/adrv9371x/a10gx/system_top.v +++ b/projects/adrv9371x/a10gx/system_top.v @@ -2,21 +2,23 @@ // *************************************************************************** // Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved. // -// Each core or library found in this collection may have its own licensing terms. -// The user should keep this in in mind while exploring these cores. +// This core is distributed in the hope that it will be useful, but WITHOUT ANY +// WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR +// A PARTICULAR PURPOSE. // -// Redistribution and use in source and binary forms, -// with or without modification of this file, are permitted under the terms of either -// (at the option of the user): +// Redistribution and use of source or resulting binaries, with or without modification +// of this file, are permitted under one of the following two license terms: // // 1. The GNU General Public License version 2 as published by the -// Free Software Foundation, which can be found in the top level directory, or at: -// https://www.gnu.org/licenses/old-licenses/gpl-2.0.en.html +// Free Software Foundation, which can be found in the top level directory of +// the repository (LICENSE_GPL2), and at: // // OR // -// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: -// https://github.com/analogdevicesinc/hdl/blob/dev/LICENSE +// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: +// https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD +// This will allow to generate bit files and not release the source code, +// as long as it attaches to an ADI device. // // *************************************************************************** // *************************************************************************** diff --git a/projects/adrv9371x/a10soc/system_top.v b/projects/adrv9371x/a10soc/system_top.v index afa7d37cc..968d84606 100644 --- a/projects/adrv9371x/a10soc/system_top.v +++ b/projects/adrv9371x/a10soc/system_top.v @@ -2,21 +2,23 @@ // *************************************************************************** // Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved. // -// Each core or library found in this collection may have its own licensing terms. -// The user should keep this in in mind while exploring these cores. +// This core is distributed in the hope that it will be useful, but WITHOUT ANY +// WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR +// A PARTICULAR PURPOSE. // -// Redistribution and use in source and binary forms, -// with or without modification of this file, are permitted under the terms of either -// (at the option of the user): +// Redistribution and use of source or resulting binaries, with or without modification +// of this file, are permitted under one of the following two license terms: // // 1. The GNU General Public License version 2 as published by the -// Free Software Foundation, which can be found in the top level directory, or at: -// https://www.gnu.org/licenses/old-licenses/gpl-2.0.en.html +// Free Software Foundation, which can be found in the top level directory of +// the repository (LICENSE_GPL2), and at: // // OR // -// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: -// https://github.com/analogdevicesinc/hdl/blob/dev/LICENSE +// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: +// https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD +// This will allow to generate bit files and not release the source code, +// as long as it attaches to an ADI device. // // *************************************************************************** // *************************************************************************** diff --git a/projects/adrv9371x/zc706/system_top.v b/projects/adrv9371x/zc706/system_top.v index d899ee1a0..f0771ee89 100644 --- a/projects/adrv9371x/zc706/system_top.v +++ b/projects/adrv9371x/zc706/system_top.v @@ -2,21 +2,23 @@ // *************************************************************************** // Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved. // -// Each core or library found in this collection may have its own licensing terms. -// The user should keep this in in mind while exploring these cores. +// This core is distributed in the hope that it will be useful, but WITHOUT ANY +// WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR +// A PARTICULAR PURPOSE. // -// Redistribution and use in source and binary forms, -// with or without modification of this file, are permitted under the terms of either -// (at the option of the user): +// Redistribution and use of source or resulting binaries, with or without modification +// of this file, are permitted under one of the following two license terms: // // 1. The GNU General Public License version 2 as published by the -// Free Software Foundation, which can be found in the top level directory, or at: -// https://www.gnu.org/licenses/old-licenses/gpl-2.0.en.html +// Free Software Foundation, which can be found in the top level directory of +// the repository (LICENSE_GPL2), and at: // // OR // -// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: -// https://github.com/analogdevicesinc/hdl/blob/dev/LICENSE +// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: +// https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD +// This will allow to generate bit files and not release the source code, +// as long as it attaches to an ADI device. // // *************************************************************************** // *************************************************************************** diff --git a/projects/adv7511/ac701/system_top.v b/projects/adv7511/ac701/system_top.v index e8e356b97..d8db73138 100644 --- a/projects/adv7511/ac701/system_top.v +++ b/projects/adv7511/ac701/system_top.v @@ -2,21 +2,23 @@ // *************************************************************************** // Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved. // -// Each core or library found in this collection may have its own licensing terms. -// The user should keep this in in mind while exploring these cores. +// This core is distributed in the hope that it will be useful, but WITHOUT ANY +// WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR +// A PARTICULAR PURPOSE. // -// Redistribution and use in source and binary forms, -// with or without modification of this file, are permitted under the terms of either -// (at the option of the user): +// Redistribution and use of source or resulting binaries, with or without modification +// of this file, are permitted under one of the following two license terms: // // 1. The GNU General Public License version 2 as published by the -// Free Software Foundation, which can be found in the top level directory, or at: -// https://www.gnu.org/licenses/old-licenses/gpl-2.0.en.html +// Free Software Foundation, which can be found in the top level directory of +// the repository (LICENSE_GPL2), and at: // // OR // -// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: -// https://github.com/analogdevicesinc/hdl/blob/dev/LICENSE +// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: +// https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD +// This will allow to generate bit files and not release the source code, +// as long as it attaches to an ADI device. // // *************************************************************************** // *************************************************************************** diff --git a/projects/adv7511/kc705/system_top.v b/projects/adv7511/kc705/system_top.v index 1bceaf2bf..9e61fdc99 100644 --- a/projects/adv7511/kc705/system_top.v +++ b/projects/adv7511/kc705/system_top.v @@ -2,21 +2,23 @@ // *************************************************************************** // Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved. // -// Each core or library found in this collection may have its own licensing terms. -// The user should keep this in in mind while exploring these cores. +// This core is distributed in the hope that it will be useful, but WITHOUT ANY +// WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR +// A PARTICULAR PURPOSE. // -// Redistribution and use in source and binary forms, -// with or without modification of this file, are permitted under the terms of either -// (at the option of the user): +// Redistribution and use of source or resulting binaries, with or without modification +// of this file, are permitted under one of the following two license terms: // // 1. The GNU General Public License version 2 as published by the -// Free Software Foundation, which can be found in the top level directory, or at: -// https://www.gnu.org/licenses/old-licenses/gpl-2.0.en.html +// Free Software Foundation, which can be found in the top level directory of +// the repository (LICENSE_GPL2), and at: // // OR // -// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: -// https://github.com/analogdevicesinc/hdl/blob/dev/LICENSE +// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: +// https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD +// This will allow to generate bit files and not release the source code, +// as long as it attaches to an ADI device. // // *************************************************************************** // *************************************************************************** diff --git a/projects/adv7511/kcu105/system_top.v b/projects/adv7511/kcu105/system_top.v index 316f81566..95ca3d4d8 100644 --- a/projects/adv7511/kcu105/system_top.v +++ b/projects/adv7511/kcu105/system_top.v @@ -2,21 +2,23 @@ // *************************************************************************** // Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved. // -// Each core or library found in this collection may have its own licensing terms. -// The user should keep this in in mind while exploring these cores. +// This core is distributed in the hope that it will be useful, but WITHOUT ANY +// WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR +// A PARTICULAR PURPOSE. // -// Redistribution and use in source and binary forms, -// with or without modification of this file, are permitted under the terms of either -// (at the option of the user): +// Redistribution and use of source or resulting binaries, with or without modification +// of this file, are permitted under one of the following two license terms: // // 1. The GNU General Public License version 2 as published by the -// Free Software Foundation, which can be found in the top level directory, or at: -// https://www.gnu.org/licenses/old-licenses/gpl-2.0.en.html +// Free Software Foundation, which can be found in the top level directory of +// the repository (LICENSE_GPL2), and at: // // OR // -// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: -// https://github.com/analogdevicesinc/hdl/blob/dev/LICENSE +// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: +// https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD +// This will allow to generate bit files and not release the source code, +// as long as it attaches to an ADI device. // // *************************************************************************** // *************************************************************************** diff --git a/projects/adv7511/mitx045/system_top.v b/projects/adv7511/mitx045/system_top.v index a79dbacb2..eec488208 100644 --- a/projects/adv7511/mitx045/system_top.v +++ b/projects/adv7511/mitx045/system_top.v @@ -2,21 +2,23 @@ // *************************************************************************** // Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved. // -// Each core or library found in this collection may have its own licensing terms. -// The user should keep this in in mind while exploring these cores. +// This core is distributed in the hope that it will be useful, but WITHOUT ANY +// WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR +// A PARTICULAR PURPOSE. // -// Redistribution and use in source and binary forms, -// with or without modification of this file, are permitted under the terms of either -// (at the option of the user): +// Redistribution and use of source or resulting binaries, with or without modification +// of this file, are permitted under one of the following two license terms: // // 1. The GNU General Public License version 2 as published by the -// Free Software Foundation, which can be found in the top level directory, or at: -// https://www.gnu.org/licenses/old-licenses/gpl-2.0.en.html +// Free Software Foundation, which can be found in the top level directory of +// the repository (LICENSE_GPL2), and at: // // OR // -// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: -// https://github.com/analogdevicesinc/hdl/blob/dev/LICENSE +// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: +// https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD +// This will allow to generate bit files and not release the source code, +// as long as it attaches to an ADI device. // // *************************************************************************** // *************************************************************************** diff --git a/projects/adv7511/vc707/system_top.v b/projects/adv7511/vc707/system_top.v index a8ba8ba2b..6800931ec 100644 --- a/projects/adv7511/vc707/system_top.v +++ b/projects/adv7511/vc707/system_top.v @@ -2,21 +2,23 @@ // *************************************************************************** // Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved. // -// Each core or library found in this collection may have its own licensing terms. -// The user should keep this in in mind while exploring these cores. +// This core is distributed in the hope that it will be useful, but WITHOUT ANY +// WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR +// A PARTICULAR PURPOSE. // -// Redistribution and use in source and binary forms, -// with or without modification of this file, are permitted under the terms of either -// (at the option of the user): +// Redistribution and use of source or resulting binaries, with or without modification +// of this file, are permitted under one of the following two license terms: // // 1. The GNU General Public License version 2 as published by the -// Free Software Foundation, which can be found in the top level directory, or at: -// https://www.gnu.org/licenses/old-licenses/gpl-2.0.en.html +// Free Software Foundation, which can be found in the top level directory of +// the repository (LICENSE_GPL2), and at: // // OR // -// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: -// https://github.com/analogdevicesinc/hdl/blob/dev/LICENSE +// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: +// https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD +// This will allow to generate bit files and not release the source code, +// as long as it attaches to an ADI device. // // *************************************************************************** // *************************************************************************** diff --git a/projects/adv7511/zc702/system_top.v b/projects/adv7511/zc702/system_top.v index 681ca8fb9..d6f078227 100644 --- a/projects/adv7511/zc702/system_top.v +++ b/projects/adv7511/zc702/system_top.v @@ -2,21 +2,23 @@ // *************************************************************************** // Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved. // -// Each core or library found in this collection may have its own licensing terms. -// The user should keep this in in mind while exploring these cores. +// This core is distributed in the hope that it will be useful, but WITHOUT ANY +// WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR +// A PARTICULAR PURPOSE. // -// Redistribution and use in source and binary forms, -// with or without modification of this file, are permitted under the terms of either -// (at the option of the user): +// Redistribution and use of source or resulting binaries, with or without modification +// of this file, are permitted under one of the following two license terms: // // 1. The GNU General Public License version 2 as published by the -// Free Software Foundation, which can be found in the top level directory, or at: -// https://www.gnu.org/licenses/old-licenses/gpl-2.0.en.html +// Free Software Foundation, which can be found in the top level directory of +// the repository (LICENSE_GPL2), and at: // // OR // -// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: -// https://github.com/analogdevicesinc/hdl/blob/dev/LICENSE +// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: +// https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD +// This will allow to generate bit files and not release the source code, +// as long as it attaches to an ADI device. // // *************************************************************************** // *************************************************************************** diff --git a/projects/adv7511/zc706/system_top.v b/projects/adv7511/zc706/system_top.v index 8f97b22bc..46b1d993e 100644 --- a/projects/adv7511/zc706/system_top.v +++ b/projects/adv7511/zc706/system_top.v @@ -2,21 +2,23 @@ // *************************************************************************** // Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved. // -// Each core or library found in this collection may have its own licensing terms. -// The user should keep this in in mind while exploring these cores. +// This core is distributed in the hope that it will be useful, but WITHOUT ANY +// WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR +// A PARTICULAR PURPOSE. // -// Redistribution and use in source and binary forms, -// with or without modification of this file, are permitted under the terms of either -// (at the option of the user): +// Redistribution and use of source or resulting binaries, with or without modification +// of this file, are permitted under one of the following two license terms: // // 1. The GNU General Public License version 2 as published by the -// Free Software Foundation, which can be found in the top level directory, or at: -// https://www.gnu.org/licenses/old-licenses/gpl-2.0.en.html +// Free Software Foundation, which can be found in the top level directory of +// the repository (LICENSE_GPL2), and at: // // OR // -// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: -// https://github.com/analogdevicesinc/hdl/blob/dev/LICENSE +// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: +// https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD +// This will allow to generate bit files and not release the source code, +// as long as it attaches to an ADI device. // // *************************************************************************** // *************************************************************************** diff --git a/projects/adv7511/zed/system_top.v b/projects/adv7511/zed/system_top.v index b510ec02e..642b4e38b 100644 --- a/projects/adv7511/zed/system_top.v +++ b/projects/adv7511/zed/system_top.v @@ -2,21 +2,23 @@ // *************************************************************************** // Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved. // -// Each core or library found in this collection may have its own licensing terms. -// The user should keep this in in mind while exploring these cores. +// This core is distributed in the hope that it will be useful, but WITHOUT ANY +// WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR +// A PARTICULAR PURPOSE. // -// Redistribution and use in source and binary forms, -// with or without modification of this file, are permitted under the terms of either -// (at the option of the user): +// Redistribution and use of source or resulting binaries, with or without modification +// of this file, are permitted under one of the following two license terms: // // 1. The GNU General Public License version 2 as published by the -// Free Software Foundation, which can be found in the top level directory, or at: -// https://www.gnu.org/licenses/old-licenses/gpl-2.0.en.html +// Free Software Foundation, which can be found in the top level directory of +// the repository (LICENSE_GPL2), and at: // // OR // -// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: -// https://github.com/analogdevicesinc/hdl/blob/dev/LICENSE +// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: +// https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD +// This will allow to generate bit files and not release the source code, +// as long as it attaches to an ADI device. // // *************************************************************************** // *************************************************************************** diff --git a/projects/arradio/c5soc/system_top.v b/projects/arradio/c5soc/system_top.v index 2227ca975..05deeaab4 100644 --- a/projects/arradio/c5soc/system_top.v +++ b/projects/arradio/c5soc/system_top.v @@ -2,21 +2,23 @@ // *************************************************************************** // Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved. // -// Each core or library found in this collection may have its own licensing terms. -// The user should keep this in in mind while exploring these cores. +// This core is distributed in the hope that it will be useful, but WITHOUT ANY +// WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR +// A PARTICULAR PURPOSE. // -// Redistribution and use in source and binary forms, -// with or without modification of this file, are permitted under the terms of either -// (at the option of the user): +// Redistribution and use of source or resulting binaries, with or without modification +// of this file, are permitted under one of the following two license terms: // // 1. The GNU General Public License version 2 as published by the -// Free Software Foundation, which can be found in the top level directory, or at: -// https://www.gnu.org/licenses/old-licenses/gpl-2.0.en.html +// Free Software Foundation, which can be found in the top level directory of +// the repository (LICENSE_GPL2), and at: // // OR // -// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: -// https://github.com/analogdevicesinc/hdl/blob/dev/LICENSE +// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: +// https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD +// This will allow to generate bit files and not release the source code, +// as long as it attaches to an ADI device. // // *************************************************************************** // *************************************************************************** diff --git a/projects/cftl_cip/zed/system_top.v b/projects/cftl_cip/zed/system_top.v index 5e5bf4e06..256fb5d8d 100644 --- a/projects/cftl_cip/zed/system_top.v +++ b/projects/cftl_cip/zed/system_top.v @@ -2,21 +2,23 @@ // *************************************************************************** // Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved. // -// Each core or library found in this collection may have its own licensing terms. -// The user should keep this in in mind while exploring these cores. +// This core is distributed in the hope that it will be useful, but WITHOUT ANY +// WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR +// A PARTICULAR PURPOSE. // -// Redistribution and use in source and binary forms, -// with or without modification of this file, are permitted under the terms of either -// (at the option of the user): +// Redistribution and use of source or resulting binaries, with or without modification +// of this file, are permitted under one of the following two license terms: // // 1. The GNU General Public License version 2 as published by the -// Free Software Foundation, which can be found in the top level directory, or at: -// https://www.gnu.org/licenses/old-licenses/gpl-2.0.en.html +// Free Software Foundation, which can be found in the top level directory of +// the repository (LICENSE_GPL2), and at: // // OR // -// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: -// https://github.com/analogdevicesinc/hdl/blob/dev/LICENSE +// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: +// https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD +// This will allow to generate bit files and not release the source code, +// as long as it attaches to an ADI device. // // *************************************************************************** // *************************************************************************** diff --git a/projects/cftl_std/zed/system_top.v b/projects/cftl_std/zed/system_top.v index 7aa287292..8ddb82db6 100644 --- a/projects/cftl_std/zed/system_top.v +++ b/projects/cftl_std/zed/system_top.v @@ -2,21 +2,23 @@ // *************************************************************************** // Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved. // -// Each core or library found in this collection may have its own licensing terms. -// The user should keep this in in mind while exploring these cores. +// This core is distributed in the hope that it will be useful, but WITHOUT ANY +// WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR +// A PARTICULAR PURPOSE. // -// Redistribution and use in source and binary forms, -// with or without modification of this file, are permitted under the terms of either -// (at the option of the user): +// Redistribution and use of source or resulting binaries, with or without modification +// of this file, are permitted under one of the following two license terms: // // 1. The GNU General Public License version 2 as published by the -// Free Software Foundation, which can be found in the top level directory, or at: -// https://www.gnu.org/licenses/old-licenses/gpl-2.0.en.html +// Free Software Foundation, which can be found in the top level directory of +// the repository (LICENSE_GPL2), and at: // // OR // -// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: -// https://github.com/analogdevicesinc/hdl/blob/dev/LICENSE +// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: +// https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD +// This will allow to generate bit files and not release the source code, +// as long as it attaches to an ADI device. // // *************************************************************************** // *************************************************************************** diff --git a/projects/cn0363/microzed/system_top.v b/projects/cn0363/microzed/system_top.v index 79185ccf7..d3249717c 100644 --- a/projects/cn0363/microzed/system_top.v +++ b/projects/cn0363/microzed/system_top.v @@ -2,21 +2,23 @@ // *************************************************************************** // Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved. // -// Each core or library found in this collection may have its own licensing terms. -// The user should keep this in in mind while exploring these cores. +// This core is distributed in the hope that it will be useful, but WITHOUT ANY +// WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR +// A PARTICULAR PURPOSE. // -// Redistribution and use in source and binary forms, -// with or without modification of this file, are permitted under the terms of either -// (at the option of the user): +// Redistribution and use of source or resulting binaries, with or without modification +// of this file, are permitted under one of the following two license terms: // // 1. The GNU General Public License version 2 as published by the -// Free Software Foundation, which can be found in the top level directory, or at: -// https://www.gnu.org/licenses/old-licenses/gpl-2.0.en.html +// Free Software Foundation, which can be found in the top level directory of +// the repository (LICENSE_GPL2), and at: // // OR // -// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: -// https://github.com/analogdevicesinc/hdl/blob/dev/LICENSE +// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: +// https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD +// This will allow to generate bit files and not release the source code, +// as long as it attaches to an ADI device. // // *************************************************************************** // *************************************************************************** diff --git a/projects/cn0363/zed/system_top.v b/projects/cn0363/zed/system_top.v index 2fdf4c583..cb2dd27c7 100644 --- a/projects/cn0363/zed/system_top.v +++ b/projects/cn0363/zed/system_top.v @@ -2,21 +2,23 @@ // *************************************************************************** // Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved. // -// Each core or library found in this collection may have its own licensing terms. -// The user should keep this in in mind while exploring these cores. +// This core is distributed in the hope that it will be useful, but WITHOUT ANY +// WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR +// A PARTICULAR PURPOSE. // -// Redistribution and use in source and binary forms, -// with or without modification of this file, are permitted under the terms of either -// (at the option of the user): +// Redistribution and use of source or resulting binaries, with or without modification +// of this file, are permitted under one of the following two license terms: // // 1. The GNU General Public License version 2 as published by the -// Free Software Foundation, which can be found in the top level directory, or at: -// https://www.gnu.org/licenses/old-licenses/gpl-2.0.en.html +// Free Software Foundation, which can be found in the top level directory of +// the repository (LICENSE_GPL2), and at: // // OR // -// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: -// https://github.com/analogdevicesinc/hdl/blob/dev/LICENSE +// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: +// https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD +// This will allow to generate bit files and not release the source code, +// as long as it attaches to an ADI device. // // *************************************************************************** // *************************************************************************** diff --git a/projects/common/a5gte/system_top.v b/projects/common/a5gte/system_top.v index ba8c56072..a7dbba108 100644 --- a/projects/common/a5gte/system_top.v +++ b/projects/common/a5gte/system_top.v @@ -2,21 +2,23 @@ // *************************************************************************** // Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved. // -// Each core or library found in this collection may have its own licensing terms. -// The user should keep this in in mind while exploring these cores. +// This core is distributed in the hope that it will be useful, but WITHOUT ANY +// WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR +// A PARTICULAR PURPOSE. // -// Redistribution and use in source and binary forms, -// with or without modification of this file, are permitted under the terms of either -// (at the option of the user): +// Redistribution and use of source or resulting binaries, with or without modification +// of this file, are permitted under one of the following two license terms: // // 1. The GNU General Public License version 2 as published by the -// Free Software Foundation, which can be found in the top level directory, or at: -// https://www.gnu.org/licenses/old-licenses/gpl-2.0.en.html +// Free Software Foundation, which can be found in the top level directory of +// the repository (LICENSE_GPL2), and at: // // OR // -// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: -// https://github.com/analogdevicesinc/hdl/blob/dev/LICENSE +// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: +// https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD +// This will allow to generate bit files and not release the source code, +// as long as it attaches to an ADI device. // // *************************************************************************** // *************************************************************************** diff --git a/projects/daq1/common/daq1_spi.v b/projects/daq1/common/daq1_spi.v index bdab7f4d7..21bfe8182 100644 --- a/projects/daq1/common/daq1_spi.v +++ b/projects/daq1/common/daq1_spi.v @@ -2,21 +2,23 @@ // *************************************************************************** // Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved. // -// Each core or library found in this collection may have its own licensing terms. -// The user should keep this in in mind while exploring these cores. +// This core is distributed in the hope that it will be useful, but WITHOUT ANY +// WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR +// A PARTICULAR PURPOSE. // -// Redistribution and use in source and binary forms, -// with or without modification of this file, are permitted under the terms of either -// (at the option of the user): +// Redistribution and use of source or resulting binaries, with or without modification +// of this file, are permitted under one of the following two license terms: // // 1. The GNU General Public License version 2 as published by the -// Free Software Foundation, which can be found in the top level directory, or at: -// https://www.gnu.org/licenses/old-licenses/gpl-2.0.en.html +// Free Software Foundation, which can be found in the top level directory of +// the repository (LICENSE_GPL2), and at: // // OR // -// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: -// https://github.com/analogdevicesinc/hdl/blob/dev/LICENSE +// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: +// https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD +// This will allow to generate bit files and not release the source code, +// as long as it attaches to an ADI device. // // *************************************************************************** // *************************************************************************** diff --git a/projects/daq1/cpld/daq1_cpld.v b/projects/daq1/cpld/daq1_cpld.v index 65108afcc..fa30761a5 100644 --- a/projects/daq1/cpld/daq1_cpld.v +++ b/projects/daq1/cpld/daq1_cpld.v @@ -2,21 +2,23 @@ // *************************************************************************** // Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved. // -// Each core or library found in this collection may have its own licensing terms. -// The user should keep this in in mind while exploring these cores. +// This core is distributed in the hope that it will be useful, but WITHOUT ANY +// WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR +// A PARTICULAR PURPOSE. // -// Redistribution and use in source and binary forms, -// with or without modification of this file, are permitted under the terms of either -// (at the option of the user): +// Redistribution and use of source or resulting binaries, with or without modification +// of this file, are permitted under one of the following two license terms: // // 1. The GNU General Public License version 2 as published by the -// Free Software Foundation, which can be found in the top level directory, or at: -// https://www.gnu.org/licenses/old-licenses/gpl-2.0.en.html +// Free Software Foundation, which can be found in the top level directory of +// the repository (LICENSE_GPL2), and at: // // OR // -// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: -// https://github.com/analogdevicesinc/hdl/blob/dev/LICENSE +// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: +// https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD +// This will allow to generate bit files and not release the source code, +// as long as it attaches to an ADI device. // // *************************************************************************** // *************************************************************************** diff --git a/projects/daq1/zc706/system_top.v b/projects/daq1/zc706/system_top.v index 4db0e8e58..1aed8e512 100644 --- a/projects/daq1/zc706/system_top.v +++ b/projects/daq1/zc706/system_top.v @@ -2,21 +2,23 @@ // *************************************************************************** // Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved. // -// Each core or library found in this collection may have its own licensing terms. -// The user should keep this in in mind while exploring these cores. +// This core is distributed in the hope that it will be useful, but WITHOUT ANY +// WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR +// A PARTICULAR PURPOSE. // -// Redistribution and use in source and binary forms, -// with or without modification of this file, are permitted under the terms of either -// (at the option of the user): +// Redistribution and use of source or resulting binaries, with or without modification +// of this file, are permitted under one of the following two license terms: // // 1. The GNU General Public License version 2 as published by the -// Free Software Foundation, which can be found in the top level directory, or at: -// https://www.gnu.org/licenses/old-licenses/gpl-2.0.en.html +// Free Software Foundation, which can be found in the top level directory of +// the repository (LICENSE_GPL2), and at: // // OR // -// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: -// https://github.com/analogdevicesinc/hdl/blob/dev/LICENSE +// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: +// https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD +// This will allow to generate bit files and not release the source code, +// as long as it attaches to an ADI device. // // *************************************************************************** // *************************************************************************** diff --git a/projects/daq2/a10gx/system_top.v b/projects/daq2/a10gx/system_top.v index 0d16b34a1..69872f0f4 100644 --- a/projects/daq2/a10gx/system_top.v +++ b/projects/daq2/a10gx/system_top.v @@ -2,21 +2,23 @@ // *************************************************************************** // Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved. // -// Each core or library found in this collection may have its own licensing terms. -// The user should keep this in in mind while exploring these cores. +// This core is distributed in the hope that it will be useful, but WITHOUT ANY +// WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR +// A PARTICULAR PURPOSE. // -// Redistribution and use in source and binary forms, -// with or without modification of this file, are permitted under the terms of either -// (at the option of the user): +// Redistribution and use of source or resulting binaries, with or without modification +// of this file, are permitted under one of the following two license terms: // // 1. The GNU General Public License version 2 as published by the -// Free Software Foundation, which can be found in the top level directory, or at: -// https://www.gnu.org/licenses/old-licenses/gpl-2.0.en.html +// Free Software Foundation, which can be found in the top level directory of +// the repository (LICENSE_GPL2), and at: // // OR // -// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: -// https://github.com/analogdevicesinc/hdl/blob/dev/LICENSE +// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: +// https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD +// This will allow to generate bit files and not release the source code, +// as long as it attaches to an ADI device. // // *************************************************************************** // *************************************************************************** diff --git a/projects/daq2/common/daq2_spi.v b/projects/daq2/common/daq2_spi.v index 2d571673b..ac0ea768c 100644 --- a/projects/daq2/common/daq2_spi.v +++ b/projects/daq2/common/daq2_spi.v @@ -2,21 +2,23 @@ // *************************************************************************** // Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved. // -// Each core or library found in this collection may have its own licensing terms. -// The user should keep this in in mind while exploring these cores. +// This core is distributed in the hope that it will be useful, but WITHOUT ANY +// WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR +// A PARTICULAR PURPOSE. // -// Redistribution and use in source and binary forms, -// with or without modification of this file, are permitted under the terms of either -// (at the option of the user): +// Redistribution and use of source or resulting binaries, with or without modification +// of this file, are permitted under one of the following two license terms: // // 1. The GNU General Public License version 2 as published by the -// Free Software Foundation, which can be found in the top level directory, or at: -// https://www.gnu.org/licenses/old-licenses/gpl-2.0.en.html +// Free Software Foundation, which can be found in the top level directory of +// the repository (LICENSE_GPL2), and at: // // OR // -// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: -// https://github.com/analogdevicesinc/hdl/blob/dev/LICENSE +// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: +// https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD +// This will allow to generate bit files and not release the source code, +// as long as it attaches to an ADI device. // // *************************************************************************** // *************************************************************************** diff --git a/projects/daq2/kc705/system_top.v b/projects/daq2/kc705/system_top.v index dc053295e..e8d4b33d8 100644 --- a/projects/daq2/kc705/system_top.v +++ b/projects/daq2/kc705/system_top.v @@ -2,21 +2,23 @@ // *************************************************************************** // Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved. // -// Each core or library found in this collection may have its own licensing terms. -// The user should keep this in in mind while exploring these cores. +// This core is distributed in the hope that it will be useful, but WITHOUT ANY +// WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR +// A PARTICULAR PURPOSE. // -// Redistribution and use in source and binary forms, -// with or without modification of this file, are permitted under the terms of either -// (at the option of the user): +// Redistribution and use of source or resulting binaries, with or without modification +// of this file, are permitted under one of the following two license terms: // // 1. The GNU General Public License version 2 as published by the -// Free Software Foundation, which can be found in the top level directory, or at: -// https://www.gnu.org/licenses/old-licenses/gpl-2.0.en.html +// Free Software Foundation, which can be found in the top level directory of +// the repository (LICENSE_GPL2), and at: // // OR // -// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: -// https://github.com/analogdevicesinc/hdl/blob/dev/LICENSE +// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: +// https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD +// This will allow to generate bit files and not release the source code, +// as long as it attaches to an ADI device. // // *************************************************************************** // *************************************************************************** diff --git a/projects/daq2/kcu105/system_top.v b/projects/daq2/kcu105/system_top.v index a79269198..d0ed4116d 100644 --- a/projects/daq2/kcu105/system_top.v +++ b/projects/daq2/kcu105/system_top.v @@ -2,21 +2,23 @@ // *************************************************************************** // Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved. // -// Each core or library found in this collection may have its own licensing terms. -// The user should keep this in in mind while exploring these cores. +// This core is distributed in the hope that it will be useful, but WITHOUT ANY +// WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR +// A PARTICULAR PURPOSE. // -// Redistribution and use in source and binary forms, -// with or without modification of this file, are permitted under the terms of either -// (at the option of the user): +// Redistribution and use of source or resulting binaries, with or without modification +// of this file, are permitted under one of the following two license terms: // // 1. The GNU General Public License version 2 as published by the -// Free Software Foundation, which can be found in the top level directory, or at: -// https://www.gnu.org/licenses/old-licenses/gpl-2.0.en.html +// Free Software Foundation, which can be found in the top level directory of +// the repository (LICENSE_GPL2), and at: // // OR // -// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: -// https://github.com/analogdevicesinc/hdl/blob/dev/LICENSE +// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: +// https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD +// This will allow to generate bit files and not release the source code, +// as long as it attaches to an ADI device. // // *************************************************************************** // *************************************************************************** diff --git a/projects/daq2/vc707/system_top.v b/projects/daq2/vc707/system_top.v index e963701cf..09145b99a 100644 --- a/projects/daq2/vc707/system_top.v +++ b/projects/daq2/vc707/system_top.v @@ -2,21 +2,23 @@ // *************************************************************************** // Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved. // -// Each core or library found in this collection may have its own licensing terms. -// The user should keep this in in mind while exploring these cores. +// This core is distributed in the hope that it will be useful, but WITHOUT ANY +// WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR +// A PARTICULAR PURPOSE. // -// Redistribution and use in source and binary forms, -// with or without modification of this file, are permitted under the terms of either -// (at the option of the user): +// Redistribution and use of source or resulting binaries, with or without modification +// of this file, are permitted under one of the following two license terms: // // 1. The GNU General Public License version 2 as published by the -// Free Software Foundation, which can be found in the top level directory, or at: -// https://www.gnu.org/licenses/old-licenses/gpl-2.0.en.html +// Free Software Foundation, which can be found in the top level directory of +// the repository (LICENSE_GPL2), and at: // // OR // -// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: -// https://github.com/analogdevicesinc/hdl/blob/dev/LICENSE +// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: +// https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD +// This will allow to generate bit files and not release the source code, +// as long as it attaches to an ADI device. // // *************************************************************************** // *************************************************************************** diff --git a/projects/daq2/zc706/system_top.v b/projects/daq2/zc706/system_top.v index d0a589bfc..2ba61a4de 100644 --- a/projects/daq2/zc706/system_top.v +++ b/projects/daq2/zc706/system_top.v @@ -2,21 +2,23 @@ // *************************************************************************** // Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved. // -// Each core or library found in this collection may have its own licensing terms. -// The user should keep this in in mind while exploring these cores. +// This core is distributed in the hope that it will be useful, but WITHOUT ANY +// WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR +// A PARTICULAR PURPOSE. // -// Redistribution and use in source and binary forms, -// with or without modification of this file, are permitted under the terms of either -// (at the option of the user): +// Redistribution and use of source or resulting binaries, with or without modification +// of this file, are permitted under one of the following two license terms: // // 1. The GNU General Public License version 2 as published by the -// Free Software Foundation, which can be found in the top level directory, or at: -// https://www.gnu.org/licenses/old-licenses/gpl-2.0.en.html +// Free Software Foundation, which can be found in the top level directory of +// the repository (LICENSE_GPL2), and at: // // OR // -// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: -// https://github.com/analogdevicesinc/hdl/blob/dev/LICENSE +// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: +// https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD +// This will allow to generate bit files and not release the source code, +// as long as it attaches to an ADI device. // // *************************************************************************** // *************************************************************************** diff --git a/projects/daq2/zcu102/system_top.v b/projects/daq2/zcu102/system_top.v index 0abd49a25..15da90e0d 100644 --- a/projects/daq2/zcu102/system_top.v +++ b/projects/daq2/zcu102/system_top.v @@ -2,21 +2,23 @@ // *************************************************************************** // Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved. // -// Each core or library found in this collection may have its own licensing terms. -// The user should keep this in in mind while exploring these cores. +// This core is distributed in the hope that it will be useful, but WITHOUT ANY +// WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR +// A PARTICULAR PURPOSE. // -// Redistribution and use in source and binary forms, -// with or without modification of this file, are permitted under the terms of either -// (at the option of the user): +// Redistribution and use of source or resulting binaries, with or without modification +// of this file, are permitted under one of the following two license terms: // // 1. The GNU General Public License version 2 as published by the -// Free Software Foundation, which can be found in the top level directory, or at: -// https://www.gnu.org/licenses/old-licenses/gpl-2.0.en.html +// Free Software Foundation, which can be found in the top level directory of +// the repository (LICENSE_GPL2), and at: // // OR // -// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: -// https://github.com/analogdevicesinc/hdl/blob/dev/LICENSE +// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: +// https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD +// This will allow to generate bit files and not release the source code, +// as long as it attaches to an ADI device. // // *************************************************************************** // *************************************************************************** diff --git a/projects/daq3/a10gx/system_top.v b/projects/daq3/a10gx/system_top.v index d1b1b77c1..c574e061a 100644 --- a/projects/daq3/a10gx/system_top.v +++ b/projects/daq3/a10gx/system_top.v @@ -2,21 +2,23 @@ // *************************************************************************** // Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved. // -// Each core or library found in this collection may have its own licensing terms. -// The user should keep this in in mind while exploring these cores. +// This core is distributed in the hope that it will be useful, but WITHOUT ANY +// WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR +// A PARTICULAR PURPOSE. // -// Redistribution and use in source and binary forms, -// with or without modification of this file, are permitted under the terms of either -// (at the option of the user): +// Redistribution and use of source or resulting binaries, with or without modification +// of this file, are permitted under one of the following two license terms: // // 1. The GNU General Public License version 2 as published by the -// Free Software Foundation, which can be found in the top level directory, or at: -// https://www.gnu.org/licenses/old-licenses/gpl-2.0.en.html +// Free Software Foundation, which can be found in the top level directory of +// the repository (LICENSE_GPL2), and at: // // OR // -// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: -// https://github.com/analogdevicesinc/hdl/blob/dev/LICENSE +// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: +// https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD +// This will allow to generate bit files and not release the source code, +// as long as it attaches to an ADI device. // // *************************************************************************** // *************************************************************************** diff --git a/projects/daq3/common/daq3_spi.v b/projects/daq3/common/daq3_spi.v index 51008e705..7896445ed 100644 --- a/projects/daq3/common/daq3_spi.v +++ b/projects/daq3/common/daq3_spi.v @@ -2,21 +2,23 @@ // *************************************************************************** // Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved. // -// Each core or library found in this collection may have its own licensing terms. -// The user should keep this in in mind while exploring these cores. +// This core is distributed in the hope that it will be useful, but WITHOUT ANY +// WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR +// A PARTICULAR PURPOSE. // -// Redistribution and use in source and binary forms, -// with or without modification of this file, are permitted under the terms of either -// (at the option of the user): +// Redistribution and use of source or resulting binaries, with or without modification +// of this file, are permitted under one of the following two license terms: // // 1. The GNU General Public License version 2 as published by the -// Free Software Foundation, which can be found in the top level directory, or at: -// https://www.gnu.org/licenses/old-licenses/gpl-2.0.en.html +// Free Software Foundation, which can be found in the top level directory of +// the repository (LICENSE_GPL2), and at: // // OR // -// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: -// https://github.com/analogdevicesinc/hdl/blob/dev/LICENSE +// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: +// https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD +// This will allow to generate bit files and not release the source code, +// as long as it attaches to an ADI device. // // *************************************************************************** // *************************************************************************** diff --git a/projects/daq3/kcu105/system_top.v b/projects/daq3/kcu105/system_top.v index 7a02cb9b4..c5944c6be 100644 --- a/projects/daq3/kcu105/system_top.v +++ b/projects/daq3/kcu105/system_top.v @@ -2,21 +2,23 @@ // *************************************************************************** // Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved. // -// Each core or library found in this collection may have its own licensing terms. -// The user should keep this in in mind while exploring these cores. +// This core is distributed in the hope that it will be useful, but WITHOUT ANY +// WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR +// A PARTICULAR PURPOSE. // -// Redistribution and use in source and binary forms, -// with or without modification of this file, are permitted under the terms of either -// (at the option of the user): +// Redistribution and use of source or resulting binaries, with or without modification +// of this file, are permitted under one of the following two license terms: // // 1. The GNU General Public License version 2 as published by the -// Free Software Foundation, which can be found in the top level directory, or at: -// https://www.gnu.org/licenses/old-licenses/gpl-2.0.en.html +// Free Software Foundation, which can be found in the top level directory of +// the repository (LICENSE_GPL2), and at: // // OR // -// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: -// https://github.com/analogdevicesinc/hdl/blob/dev/LICENSE +// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: +// https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD +// This will allow to generate bit files and not release the source code, +// as long as it attaches to an ADI device. // // *************************************************************************** // *************************************************************************** diff --git a/projects/daq3/zc706/system_top.v b/projects/daq3/zc706/system_top.v index cac80c46f..d2eb635e5 100644 --- a/projects/daq3/zc706/system_top.v +++ b/projects/daq3/zc706/system_top.v @@ -2,21 +2,23 @@ // *************************************************************************** // Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved. // -// Each core or library found in this collection may have its own licensing terms. -// The user should keep this in in mind while exploring these cores. +// This core is distributed in the hope that it will be useful, but WITHOUT ANY +// WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR +// A PARTICULAR PURPOSE. // -// Redistribution and use in source and binary forms, -// with or without modification of this file, are permitted under the terms of either -// (at the option of the user): +// Redistribution and use of source or resulting binaries, with or without modification +// of this file, are permitted under one of the following two license terms: // // 1. The GNU General Public License version 2 as published by the -// Free Software Foundation, which can be found in the top level directory, or at: -// https://www.gnu.org/licenses/old-licenses/gpl-2.0.en.html +// Free Software Foundation, which can be found in the top level directory of +// the repository (LICENSE_GPL2), and at: // // OR // -// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: -// https://github.com/analogdevicesinc/hdl/blob/dev/LICENSE +// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: +// https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD +// This will allow to generate bit files and not release the source code, +// as long as it attaches to an ADI device. // // *************************************************************************** // *************************************************************************** diff --git a/projects/fmcadc2/common/fmcadc2_spi.v b/projects/fmcadc2/common/fmcadc2_spi.v index e6ef21f84..3add229eb 100644 --- a/projects/fmcadc2/common/fmcadc2_spi.v +++ b/projects/fmcadc2/common/fmcadc2_spi.v @@ -2,21 +2,23 @@ // *************************************************************************** // Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved. // -// Each core or library found in this collection may have its own licensing terms. -// The user should keep this in in mind while exploring these cores. +// This core is distributed in the hope that it will be useful, but WITHOUT ANY +// WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR +// A PARTICULAR PURPOSE. // -// Redistribution and use in source and binary forms, -// with or without modification of this file, are permitted under the terms of either -// (at the option of the user): +// Redistribution and use of source or resulting binaries, with or without modification +// of this file, are permitted under one of the following two license terms: // // 1. The GNU General Public License version 2 as published by the -// Free Software Foundation, which can be found in the top level directory, or at: -// https://www.gnu.org/licenses/old-licenses/gpl-2.0.en.html +// Free Software Foundation, which can be found in the top level directory of +// the repository (LICENSE_GPL2), and at: // // OR // -// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: -// https://github.com/analogdevicesinc/hdl/blob/dev/LICENSE +// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: +// https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD +// This will allow to generate bit files and not release the source code, +// as long as it attaches to an ADI device. // // *************************************************************************** // *************************************************************************** diff --git a/projects/fmcadc2/vc707/system_top.v b/projects/fmcadc2/vc707/system_top.v index ada5fd577..5c7b1a004 100644 --- a/projects/fmcadc2/vc707/system_top.v +++ b/projects/fmcadc2/vc707/system_top.v @@ -2,21 +2,23 @@ // *************************************************************************** // Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved. // -// Each core or library found in this collection may have its own licensing terms. -// The user should keep this in in mind while exploring these cores. +// This core is distributed in the hope that it will be useful, but WITHOUT ANY +// WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR +// A PARTICULAR PURPOSE. // -// Redistribution and use in source and binary forms, -// with or without modification of this file, are permitted under the terms of either -// (at the option of the user): +// Redistribution and use of source or resulting binaries, with or without modification +// of this file, are permitted under one of the following two license terms: // // 1. The GNU General Public License version 2 as published by the -// Free Software Foundation, which can be found in the top level directory, or at: -// https://www.gnu.org/licenses/old-licenses/gpl-2.0.en.html +// Free Software Foundation, which can be found in the top level directory of +// the repository (LICENSE_GPL2), and at: // // OR // -// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: -// https://github.com/analogdevicesinc/hdl/blob/dev/LICENSE +// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: +// https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD +// This will allow to generate bit files and not release the source code, +// as long as it attaches to an ADI device. // // *************************************************************************** // *************************************************************************** diff --git a/projects/fmcadc2/zc706/system_top.v b/projects/fmcadc2/zc706/system_top.v index dc45acda6..9c6759747 100644 --- a/projects/fmcadc2/zc706/system_top.v +++ b/projects/fmcadc2/zc706/system_top.v @@ -2,21 +2,23 @@ // *************************************************************************** // Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved. // -// Each core or library found in this collection may have its own licensing terms. -// The user should keep this in in mind while exploring these cores. +// This core is distributed in the hope that it will be useful, but WITHOUT ANY +// WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR +// A PARTICULAR PURPOSE. // -// Redistribution and use in source and binary forms, -// with or without modification of this file, are permitted under the terms of either -// (at the option of the user): +// Redistribution and use of source or resulting binaries, with or without modification +// of this file, are permitted under one of the following two license terms: // // 1. The GNU General Public License version 2 as published by the -// Free Software Foundation, which can be found in the top level directory, or at: -// https://www.gnu.org/licenses/old-licenses/gpl-2.0.en.html +// Free Software Foundation, which can be found in the top level directory of +// the repository (LICENSE_GPL2), and at: // // OR // -// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: -// https://github.com/analogdevicesinc/hdl/blob/dev/LICENSE +// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: +// https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD +// This will allow to generate bit files and not release the source code, +// as long as it attaches to an ADI device. // // *************************************************************************** // *************************************************************************** diff --git a/projects/fmcadc4/common/fmcadc4_spi.v b/projects/fmcadc4/common/fmcadc4_spi.v index 88058de4c..a29840e43 100644 --- a/projects/fmcadc4/common/fmcadc4_spi.v +++ b/projects/fmcadc4/common/fmcadc4_spi.v @@ -2,21 +2,23 @@ // *************************************************************************** // Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved. // -// Each core or library found in this collection may have its own licensing terms. -// The user should keep this in in mind while exploring these cores. +// This core is distributed in the hope that it will be useful, but WITHOUT ANY +// WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR +// A PARTICULAR PURPOSE. // -// Redistribution and use in source and binary forms, -// with or without modification of this file, are permitted under the terms of either -// (at the option of the user): +// Redistribution and use of source or resulting binaries, with or without modification +// of this file, are permitted under one of the following two license terms: // // 1. The GNU General Public License version 2 as published by the -// Free Software Foundation, which can be found in the top level directory, or at: -// https://www.gnu.org/licenses/old-licenses/gpl-2.0.en.html +// Free Software Foundation, which can be found in the top level directory of +// the repository (LICENSE_GPL2), and at: // // OR // -// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: -// https://github.com/analogdevicesinc/hdl/blob/dev/LICENSE +// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: +// https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD +// This will allow to generate bit files and not release the source code, +// as long as it attaches to an ADI device. // // *************************************************************************** // *************************************************************************** diff --git a/projects/fmcadc4/zc706/system_top.v b/projects/fmcadc4/zc706/system_top.v index 3c6cc6b5d..64ff6f5c9 100644 --- a/projects/fmcadc4/zc706/system_top.v +++ b/projects/fmcadc4/zc706/system_top.v @@ -2,21 +2,23 @@ // *************************************************************************** // Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved. // -// Each core or library found in this collection may have its own licensing terms. -// The user should keep this in in mind while exploring these cores. +// This core is distributed in the hope that it will be useful, but WITHOUT ANY +// WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR +// A PARTICULAR PURPOSE. // -// Redistribution and use in source and binary forms, -// with or without modification of this file, are permitted under the terms of either -// (at the option of the user): +// Redistribution and use of source or resulting binaries, with or without modification +// of this file, are permitted under one of the following two license terms: // // 1. The GNU General Public License version 2 as published by the -// Free Software Foundation, which can be found in the top level directory, or at: -// https://www.gnu.org/licenses/old-licenses/gpl-2.0.en.html +// Free Software Foundation, which can be found in the top level directory of +// the repository (LICENSE_GPL2), and at: // // OR // -// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: -// https://github.com/analogdevicesinc/hdl/blob/dev/LICENSE +// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: +// https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD +// This will allow to generate bit files and not release the source code, +// as long as it attaches to an ADI device. // // *************************************************************************** // *************************************************************************** diff --git a/projects/fmcadc5/common/fmcadc5_spi.v b/projects/fmcadc5/common/fmcadc5_spi.v index 053def966..68fef0d59 100644 --- a/projects/fmcadc5/common/fmcadc5_spi.v +++ b/projects/fmcadc5/common/fmcadc5_spi.v @@ -2,21 +2,23 @@ // *************************************************************************** // Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved. // -// Each core or library found in this collection may have its own licensing terms. -// The user should keep this in in mind while exploring these cores. +// This core is distributed in the hope that it will be useful, but WITHOUT ANY +// WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR +// A PARTICULAR PURPOSE. // -// Redistribution and use in source and binary forms, -// with or without modification of this file, are permitted under the terms of either -// (at the option of the user): +// Redistribution and use of source or resulting binaries, with or without modification +// of this file, are permitted under one of the following two license terms: // // 1. The GNU General Public License version 2 as published by the -// Free Software Foundation, which can be found in the top level directory, or at: -// https://www.gnu.org/licenses/old-licenses/gpl-2.0.en.html +// Free Software Foundation, which can be found in the top level directory of +// the repository (LICENSE_GPL2), and at: // // OR // -// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: -// https://github.com/analogdevicesinc/hdl/blob/dev/LICENSE +// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: +// https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD +// This will allow to generate bit files and not release the source code, +// as long as it attaches to an ADI device. // // *************************************************************************** // *************************************************************************** diff --git a/projects/fmcadc5/vc707/system_top.v b/projects/fmcadc5/vc707/system_top.v index f3505f187..a072f7d94 100644 --- a/projects/fmcadc5/vc707/system_top.v +++ b/projects/fmcadc5/vc707/system_top.v @@ -2,21 +2,23 @@ // *************************************************************************** // Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved. // -// Each core or library found in this collection may have its own licensing terms. -// The user should keep this in in mind while exploring these cores. +// This core is distributed in the hope that it will be useful, but WITHOUT ANY +// WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR +// A PARTICULAR PURPOSE. // -// Redistribution and use in source and binary forms, -// with or without modification of this file, are permitted under the terms of either -// (at the option of the user): +// Redistribution and use of source or resulting binaries, with or without modification +// of this file, are permitted under one of the following two license terms: // // 1. The GNU General Public License version 2 as published by the -// Free Software Foundation, which can be found in the top level directory, or at: -// https://www.gnu.org/licenses/old-licenses/gpl-2.0.en.html +// Free Software Foundation, which can be found in the top level directory of +// the repository (LICENSE_GPL2), and at: // // OR // -// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: -// https://github.com/analogdevicesinc/hdl/blob/dev/LICENSE +// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: +// https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD +// This will allow to generate bit files and not release the source code, +// as long as it attaches to an ADI device. // // *************************************************************************** // *************************************************************************** diff --git a/projects/fmcjesdadc1/a5gt/system_top.v b/projects/fmcjesdadc1/a5gt/system_top.v index 2cba7e943..7e127aa13 100644 --- a/projects/fmcjesdadc1/a5gt/system_top.v +++ b/projects/fmcjesdadc1/a5gt/system_top.v @@ -2,21 +2,23 @@ // *************************************************************************** // Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved. // -// Each core or library found in this collection may have its own licensing terms. -// The user should keep this in in mind while exploring these cores. +// This core is distributed in the hope that it will be useful, but WITHOUT ANY +// WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR +// A PARTICULAR PURPOSE. // -// Redistribution and use in source and binary forms, -// with or without modification of this file, are permitted under the terms of either -// (at the option of the user): +// Redistribution and use of source or resulting binaries, with or without modification +// of this file, are permitted under one of the following two license terms: // // 1. The GNU General Public License version 2 as published by the -// Free Software Foundation, which can be found in the top level directory, or at: -// https://www.gnu.org/licenses/old-licenses/gpl-2.0.en.html +// Free Software Foundation, which can be found in the top level directory of +// the repository (LICENSE_GPL2), and at: // // OR // -// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: -// https://github.com/analogdevicesinc/hdl/blob/dev/LICENSE +// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: +// https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD +// This will allow to generate bit files and not release the source code, +// as long as it attaches to an ADI device. // // *************************************************************************** // *************************************************************************** diff --git a/projects/fmcjesdadc1/a5soc/system_top.v b/projects/fmcjesdadc1/a5soc/system_top.v index 6bd7ad2f7..e93760655 100644 --- a/projects/fmcjesdadc1/a5soc/system_top.v +++ b/projects/fmcjesdadc1/a5soc/system_top.v @@ -2,21 +2,23 @@ // *************************************************************************** // Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved. // -// Each core or library found in this collection may have its own licensing terms. -// The user should keep this in in mind while exploring these cores. +// This core is distributed in the hope that it will be useful, but WITHOUT ANY +// WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR +// A PARTICULAR PURPOSE. // -// Redistribution and use in source and binary forms, -// with or without modification of this file, are permitted under the terms of either -// (at the option of the user): +// Redistribution and use of source or resulting binaries, with or without modification +// of this file, are permitted under one of the following two license terms: // // 1. The GNU General Public License version 2 as published by the -// Free Software Foundation, which can be found in the top level directory, or at: -// https://www.gnu.org/licenses/old-licenses/gpl-2.0.en.html +// Free Software Foundation, which can be found in the top level directory of +// the repository (LICENSE_GPL2), and at: // // OR // -// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: -// https://github.com/analogdevicesinc/hdl/blob/dev/LICENSE +// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: +// https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD +// This will allow to generate bit files and not release the source code, +// as long as it attaches to an ADI device. // // *************************************************************************** // *************************************************************************** diff --git a/projects/fmcjesdadc1/common/fmcjesdadc1_spi.v b/projects/fmcjesdadc1/common/fmcjesdadc1_spi.v index 3f7c623f2..2bec73dfd 100644 --- a/projects/fmcjesdadc1/common/fmcjesdadc1_spi.v +++ b/projects/fmcjesdadc1/common/fmcjesdadc1_spi.v @@ -2,21 +2,23 @@ // *************************************************************************** // Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved. // -// Each core or library found in this collection may have its own licensing terms. -// The user should keep this in in mind while exploring these cores. +// This core is distributed in the hope that it will be useful, but WITHOUT ANY +// WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR +// A PARTICULAR PURPOSE. // -// Redistribution and use in source and binary forms, -// with or without modification of this file, are permitted under the terms of either -// (at the option of the user): +// Redistribution and use of source or resulting binaries, with or without modification +// of this file, are permitted under one of the following two license terms: // // 1. The GNU General Public License version 2 as published by the -// Free Software Foundation, which can be found in the top level directory, or at: -// https://www.gnu.org/licenses/old-licenses/gpl-2.0.en.html +// Free Software Foundation, which can be found in the top level directory of +// the repository (LICENSE_GPL2), and at: // // OR // -// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: -// https://github.com/analogdevicesinc/hdl/blob/dev/LICENSE +// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: +// https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD +// This will allow to generate bit files and not release the source code, +// as long as it attaches to an ADI device. // // *************************************************************************** // *************************************************************************** diff --git a/projects/fmcjesdadc1/kc705/system_top.v b/projects/fmcjesdadc1/kc705/system_top.v index 6a144e4e4..4db077fff 100644 --- a/projects/fmcjesdadc1/kc705/system_top.v +++ b/projects/fmcjesdadc1/kc705/system_top.v @@ -2,21 +2,23 @@ // *************************************************************************** // Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved. // -// Each core or library found in this collection may have its own licensing terms. -// The user should keep this in in mind while exploring these cores. +// This core is distributed in the hope that it will be useful, but WITHOUT ANY +// WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR +// A PARTICULAR PURPOSE. // -// Redistribution and use in source and binary forms, -// with or without modification of this file, are permitted under the terms of either -// (at the option of the user): +// Redistribution and use of source or resulting binaries, with or without modification +// of this file, are permitted under one of the following two license terms: // // 1. The GNU General Public License version 2 as published by the -// Free Software Foundation, which can be found in the top level directory, or at: -// https://www.gnu.org/licenses/old-licenses/gpl-2.0.en.html +// Free Software Foundation, which can be found in the top level directory of +// the repository (LICENSE_GPL2), and at: // // OR // -// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: -// https://github.com/analogdevicesinc/hdl/blob/dev/LICENSE +// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: +// https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD +// This will allow to generate bit files and not release the source code, +// as long as it attaches to an ADI device. // // *************************************************************************** // *************************************************************************** diff --git a/projects/fmcjesdadc1/vc707/system_top.v b/projects/fmcjesdadc1/vc707/system_top.v index d36bf1b5b..a314ae725 100644 --- a/projects/fmcjesdadc1/vc707/system_top.v +++ b/projects/fmcjesdadc1/vc707/system_top.v @@ -2,21 +2,23 @@ // *************************************************************************** // Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved. // -// Each core or library found in this collection may have its own licensing terms. -// The user should keep this in in mind while exploring these cores. +// This core is distributed in the hope that it will be useful, but WITHOUT ANY +// WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR +// A PARTICULAR PURPOSE. // -// Redistribution and use in source and binary forms, -// with or without modification of this file, are permitted under the terms of either -// (at the option of the user): +// Redistribution and use of source or resulting binaries, with or without modification +// of this file, are permitted under one of the following two license terms: // // 1. The GNU General Public License version 2 as published by the -// Free Software Foundation, which can be found in the top level directory, or at: -// https://www.gnu.org/licenses/old-licenses/gpl-2.0.en.html +// Free Software Foundation, which can be found in the top level directory of +// the repository (LICENSE_GPL2), and at: // // OR // -// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: -// https://github.com/analogdevicesinc/hdl/blob/dev/LICENSE +// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: +// https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD +// This will allow to generate bit files and not release the source code, +// as long as it attaches to an ADI device. // // *************************************************************************** // *************************************************************************** diff --git a/projects/fmcjesdadc1/zc706/system_top.v b/projects/fmcjesdadc1/zc706/system_top.v index 7fc86168d..17ff2c73f 100644 --- a/projects/fmcjesdadc1/zc706/system_top.v +++ b/projects/fmcjesdadc1/zc706/system_top.v @@ -2,21 +2,23 @@ // *************************************************************************** // Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved. // -// Each core or library found in this collection may have its own licensing terms. -// The user should keep this in in mind while exploring these cores. +// This core is distributed in the hope that it will be useful, but WITHOUT ANY +// WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR +// A PARTICULAR PURPOSE. // -// Redistribution and use in source and binary forms, -// with or without modification of this file, are permitted under the terms of either -// (at the option of the user): +// Redistribution and use of source or resulting binaries, with or without modification +// of this file, are permitted under one of the following two license terms: // // 1. The GNU General Public License version 2 as published by the -// Free Software Foundation, which can be found in the top level directory, or at: -// https://www.gnu.org/licenses/old-licenses/gpl-2.0.en.html +// Free Software Foundation, which can be found in the top level directory of +// the repository (LICENSE_GPL2), and at: // // OR // -// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: -// https://github.com/analogdevicesinc/hdl/blob/dev/LICENSE +// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: +// https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD +// This will allow to generate bit files and not release the source code, +// as long as it attaches to an ADI device. // // *************************************************************************** // *************************************************************************** diff --git a/projects/fmcomms11/common/fmcomms11_spi.v b/projects/fmcomms11/common/fmcomms11_spi.v index 5f4b1a63e..b827f8f4d 100644 --- a/projects/fmcomms11/common/fmcomms11_spi.v +++ b/projects/fmcomms11/common/fmcomms11_spi.v @@ -2,21 +2,23 @@ // *************************************************************************** // Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved. // -// Each core or library found in this collection may have its own licensing terms. -// The user should keep this in in mind while exploring these cores. +// This core is distributed in the hope that it will be useful, but WITHOUT ANY +// WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR +// A PARTICULAR PURPOSE. // -// Redistribution and use in source and binary forms, -// with or without modification of this file, are permitted under the terms of either -// (at the option of the user): +// Redistribution and use of source or resulting binaries, with or without modification +// of this file, are permitted under one of the following two license terms: // // 1. The GNU General Public License version 2 as published by the -// Free Software Foundation, which can be found in the top level directory, or at: -// https://www.gnu.org/licenses/old-licenses/gpl-2.0.en.html +// Free Software Foundation, which can be found in the top level directory of +// the repository (LICENSE_GPL2), and at: // // OR // -// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: -// https://github.com/analogdevicesinc/hdl/blob/dev/LICENSE +// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: +// https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD +// This will allow to generate bit files and not release the source code, +// as long as it attaches to an ADI device. // // *************************************************************************** // *************************************************************************** diff --git a/projects/fmcomms11/zc706/system_top.v b/projects/fmcomms11/zc706/system_top.v index 725350c59..b17c80e44 100644 --- a/projects/fmcomms11/zc706/system_top.v +++ b/projects/fmcomms11/zc706/system_top.v @@ -2,21 +2,23 @@ // *************************************************************************** // Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved. // -// Each core or library found in this collection may have its own licensing terms. -// The user should keep this in in mind while exploring these cores. +// This core is distributed in the hope that it will be useful, but WITHOUT ANY +// WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR +// A PARTICULAR PURPOSE. // -// Redistribution and use in source and binary forms, -// with or without modification of this file, are permitted under the terms of either -// (at the option of the user): +// Redistribution and use of source or resulting binaries, with or without modification +// of this file, are permitted under one of the following two license terms: // // 1. The GNU General Public License version 2 as published by the -// Free Software Foundation, which can be found in the top level directory, or at: -// https://www.gnu.org/licenses/old-licenses/gpl-2.0.en.html +// Free Software Foundation, which can be found in the top level directory of +// the repository (LICENSE_GPL2), and at: // // OR // -// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: -// https://github.com/analogdevicesinc/hdl/blob/dev/LICENSE +// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: +// https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD +// This will allow to generate bit files and not release the source code, +// as long as it attaches to an ADI device. // // *************************************************************************** // *************************************************************************** diff --git a/projects/fmcomms2/ac701/system_top.v b/projects/fmcomms2/ac701/system_top.v index 1804d45b8..21a1cfeb2 100644 --- a/projects/fmcomms2/ac701/system_top.v +++ b/projects/fmcomms2/ac701/system_top.v @@ -2,21 +2,23 @@ // *************************************************************************** // Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved. // -// Each core or library found in this collection may have its own licensing terms. -// The user should keep this in in mind while exploring these cores. +// This core is distributed in the hope that it will be useful, but WITHOUT ANY +// WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR +// A PARTICULAR PURPOSE. // -// Redistribution and use in source and binary forms, -// with or without modification of this file, are permitted under the terms of either -// (at the option of the user): +// Redistribution and use of source or resulting binaries, with or without modification +// of this file, are permitted under one of the following two license terms: // // 1. The GNU General Public License version 2 as published by the -// Free Software Foundation, which can be found in the top level directory, or at: -// https://www.gnu.org/licenses/old-licenses/gpl-2.0.en.html +// Free Software Foundation, which can be found in the top level directory of +// the repository (LICENSE_GPL2), and at: // // OR // -// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: -// https://github.com/analogdevicesinc/hdl/blob/dev/LICENSE +// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: +// https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD +// This will allow to generate bit files and not release the source code, +// as long as it attaches to an ADI device. // // *************************************************************************** // *************************************************************************** diff --git a/projects/fmcomms2/common/prcfg.v b/projects/fmcomms2/common/prcfg.v index b1ad9fea7..e61403cdc 100644 --- a/projects/fmcomms2/common/prcfg.v +++ b/projects/fmcomms2/common/prcfg.v @@ -2,21 +2,23 @@ // *************************************************************************** // Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved. // -// Each core or library found in this collection may have its own licensing terms. -// The user should keep this in in mind while exploring these cores. +// This core is distributed in the hope that it will be useful, but WITHOUT ANY +// WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR +// A PARTICULAR PURPOSE. // -// Redistribution and use in source and binary forms, -// with or without modification of this file, are permitted under the terms of either -// (at the option of the user): +// Redistribution and use of source or resulting binaries, with or without modification +// of this file, are permitted under one of the following two license terms: // // 1. The GNU General Public License version 2 as published by the -// Free Software Foundation, which can be found in the top level directory, or at: -// https://www.gnu.org/licenses/old-licenses/gpl-2.0.en.html +// Free Software Foundation, which can be found in the top level directory of +// the repository (LICENSE_GPL2), and at: // // OR // -// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: -// https://github.com/analogdevicesinc/hdl/blob/dev/LICENSE +// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: +// https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD +// This will allow to generate bit files and not release the source code, +// as long as it attaches to an ADI device. // // *************************************************************************** // *************************************************************************** diff --git a/projects/fmcomms2/common/prcfg_bb.v b/projects/fmcomms2/common/prcfg_bb.v index a6a2afede..d49d8aecd 100644 --- a/projects/fmcomms2/common/prcfg_bb.v +++ b/projects/fmcomms2/common/prcfg_bb.v @@ -2,21 +2,23 @@ // *************************************************************************** // Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved. // -// Each core or library found in this collection may have its own licensing terms. -// The user should keep this in in mind while exploring these cores. +// This core is distributed in the hope that it will be useful, but WITHOUT ANY +// WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR +// A PARTICULAR PURPOSE. // -// Redistribution and use in source and binary forms, -// with or without modification of this file, are permitted under the terms of either -// (at the option of the user): +// Redistribution and use of source or resulting binaries, with or without modification +// of this file, are permitted under one of the following two license terms: // // 1. The GNU General Public License version 2 as published by the -// Free Software Foundation, which can be found in the top level directory, or at: -// https://www.gnu.org/licenses/old-licenses/gpl-2.0.en.html +// Free Software Foundation, which can be found in the top level directory of +// the repository (LICENSE_GPL2), and at: // // OR // -// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: -// https://github.com/analogdevicesinc/hdl/blob/dev/LICENSE +// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: +// https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD +// This will allow to generate bit files and not release the source code, +// as long as it attaches to an ADI device. // // *************************************************************************** // *************************************************************************** diff --git a/projects/fmcomms2/kc705/system_top.v b/projects/fmcomms2/kc705/system_top.v index 1a246101b..ea9cc806b 100644 --- a/projects/fmcomms2/kc705/system_top.v +++ b/projects/fmcomms2/kc705/system_top.v @@ -2,21 +2,23 @@ // *************************************************************************** // Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved. // -// Each core or library found in this collection may have its own licensing terms. -// The user should keep this in in mind while exploring these cores. +// This core is distributed in the hope that it will be useful, but WITHOUT ANY +// WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR +// A PARTICULAR PURPOSE. // -// Redistribution and use in source and binary forms, -// with or without modification of this file, are permitted under the terms of either -// (at the option of the user): +// Redistribution and use of source or resulting binaries, with or without modification +// of this file, are permitted under one of the following two license terms: // // 1. The GNU General Public License version 2 as published by the -// Free Software Foundation, which can be found in the top level directory, or at: -// https://www.gnu.org/licenses/old-licenses/gpl-2.0.en.html +// Free Software Foundation, which can be found in the top level directory of +// the repository (LICENSE_GPL2), and at: // // OR // -// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: -// https://github.com/analogdevicesinc/hdl/blob/dev/LICENSE +// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: +// https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD +// This will allow to generate bit files and not release the source code, +// as long as it attaches to an ADI device. // // *************************************************************************** // *************************************************************************** diff --git a/projects/fmcomms2/mitx045/system_top.v b/projects/fmcomms2/mitx045/system_top.v index 8b27af947..b0a0f24d7 100644 --- a/projects/fmcomms2/mitx045/system_top.v +++ b/projects/fmcomms2/mitx045/system_top.v @@ -2,21 +2,23 @@ // *************************************************************************** // Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved. // -// Each core or library found in this collection may have its own licensing terms. -// The user should keep this in in mind while exploring these cores. +// This core is distributed in the hope that it will be useful, but WITHOUT ANY +// WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR +// A PARTICULAR PURPOSE. // -// Redistribution and use in source and binary forms, -// with or without modification of this file, are permitted under the terms of either -// (at the option of the user): +// Redistribution and use of source or resulting binaries, with or without modification +// of this file, are permitted under one of the following two license terms: // // 1. The GNU General Public License version 2 as published by the -// Free Software Foundation, which can be found in the top level directory, or at: -// https://www.gnu.org/licenses/old-licenses/gpl-2.0.en.html +// Free Software Foundation, which can be found in the top level directory of +// the repository (LICENSE_GPL2), and at: // // OR // -// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: -// https://github.com/analogdevicesinc/hdl/blob/dev/LICENSE +// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: +// https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD +// This will allow to generate bit files and not release the source code, +// as long as it attaches to an ADI device. // // *************************************************************************** // *************************************************************************** diff --git a/projects/fmcomms2/vc707/system_top.v b/projects/fmcomms2/vc707/system_top.v index fe67cbb6b..cdd3b767c 100644 --- a/projects/fmcomms2/vc707/system_top.v +++ b/projects/fmcomms2/vc707/system_top.v @@ -2,21 +2,23 @@ // *************************************************************************** // Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved. // -// Each core or library found in this collection may have its own licensing terms. -// The user should keep this in in mind while exploring these cores. +// This core is distributed in the hope that it will be useful, but WITHOUT ANY +// WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR +// A PARTICULAR PURPOSE. // -// Redistribution and use in source and binary forms, -// with or without modification of this file, are permitted under the terms of either -// (at the option of the user): +// Redistribution and use of source or resulting binaries, with or without modification +// of this file, are permitted under one of the following two license terms: // // 1. The GNU General Public License version 2 as published by the -// Free Software Foundation, which can be found in the top level directory, or at: -// https://www.gnu.org/licenses/old-licenses/gpl-2.0.en.html +// Free Software Foundation, which can be found in the top level directory of +// the repository (LICENSE_GPL2), and at: // // OR // -// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: -// https://github.com/analogdevicesinc/hdl/blob/dev/LICENSE +// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: +// https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD +// This will allow to generate bit files and not release the source code, +// as long as it attaches to an ADI device. // // *************************************************************************** // *************************************************************************** diff --git a/projects/fmcomms2/zc702/system_top.v b/projects/fmcomms2/zc702/system_top.v index fa25f2726..8511c7dfd 100644 --- a/projects/fmcomms2/zc702/system_top.v +++ b/projects/fmcomms2/zc702/system_top.v @@ -2,21 +2,23 @@ // *************************************************************************** // Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved. // -// Each core or library found in this collection may have its own licensing terms. -// The user should keep this in in mind while exploring these cores. +// This core is distributed in the hope that it will be useful, but WITHOUT ANY +// WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR +// A PARTICULAR PURPOSE. // -// Redistribution and use in source and binary forms, -// with or without modification of this file, are permitted under the terms of either -// (at the option of the user): +// Redistribution and use of source or resulting binaries, with or without modification +// of this file, are permitted under one of the following two license terms: // // 1. The GNU General Public License version 2 as published by the -// Free Software Foundation, which can be found in the top level directory, or at: -// https://www.gnu.org/licenses/old-licenses/gpl-2.0.en.html +// Free Software Foundation, which can be found in the top level directory of +// the repository (LICENSE_GPL2), and at: // // OR // -// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: -// https://github.com/analogdevicesinc/hdl/blob/dev/LICENSE +// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: +// https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD +// This will allow to generate bit files and not release the source code, +// as long as it attaches to an ADI device. // // *************************************************************************** // *************************************************************************** diff --git a/projects/fmcomms2/zc706/system_top.v b/projects/fmcomms2/zc706/system_top.v index a8947a1b2..6608b6635 100644 --- a/projects/fmcomms2/zc706/system_top.v +++ b/projects/fmcomms2/zc706/system_top.v @@ -2,21 +2,23 @@ // *************************************************************************** // Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved. // -// Each core or library found in this collection may have its own licensing terms. -// The user should keep this in in mind while exploring these cores. +// This core is distributed in the hope that it will be useful, but WITHOUT ANY +// WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR +// A PARTICULAR PURPOSE. // -// Redistribution and use in source and binary forms, -// with or without modification of this file, are permitted under the terms of either -// (at the option of the user): +// Redistribution and use of source or resulting binaries, with or without modification +// of this file, are permitted under one of the following two license terms: // // 1. The GNU General Public License version 2 as published by the -// Free Software Foundation, which can be found in the top level directory, or at: -// https://www.gnu.org/licenses/old-licenses/gpl-2.0.en.html +// Free Software Foundation, which can be found in the top level directory of +// the repository (LICENSE_GPL2), and at: // // OR // -// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: -// https://github.com/analogdevicesinc/hdl/blob/dev/LICENSE +// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: +// https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD +// This will allow to generate bit files and not release the source code, +// as long as it attaches to an ADI device. // // *************************************************************************** // *************************************************************************** diff --git a/projects/fmcomms2/zc706pr/system_top.v b/projects/fmcomms2/zc706pr/system_top.v index b1182cabd..cc894feaf 100644 --- a/projects/fmcomms2/zc706pr/system_top.v +++ b/projects/fmcomms2/zc706pr/system_top.v @@ -2,21 +2,23 @@ // *************************************************************************** // Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved. // -// Each core or library found in this collection may have its own licensing terms. -// The user should keep this in in mind while exploring these cores. +// This core is distributed in the hope that it will be useful, but WITHOUT ANY +// WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR +// A PARTICULAR PURPOSE. // -// Redistribution and use in source and binary forms, -// with or without modification of this file, are permitted under the terms of either -// (at the option of the user): +// Redistribution and use of source or resulting binaries, with or without modification +// of this file, are permitted under one of the following two license terms: // // 1. The GNU General Public License version 2 as published by the -// Free Software Foundation, which can be found in the top level directory, or at: -// https://www.gnu.org/licenses/old-licenses/gpl-2.0.en.html +// Free Software Foundation, which can be found in the top level directory of +// the repository (LICENSE_GPL2), and at: // // OR // -// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: -// https://github.com/analogdevicesinc/hdl/blob/dev/LICENSE +// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: +// https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD +// This will allow to generate bit files and not release the source code, +// as long as it attaches to an ADI device. // // *************************************************************************** // *************************************************************************** diff --git a/projects/fmcomms2/zcu102/system_top.v b/projects/fmcomms2/zcu102/system_top.v index 3b80b56f2..b01117509 100644 --- a/projects/fmcomms2/zcu102/system_top.v +++ b/projects/fmcomms2/zcu102/system_top.v @@ -2,21 +2,23 @@ // *************************************************************************** // Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved. // -// Each core or library found in this collection may have its own licensing terms. -// The user should keep this in in mind while exploring these cores. +// This core is distributed in the hope that it will be useful, but WITHOUT ANY +// WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR +// A PARTICULAR PURPOSE. // -// Redistribution and use in source and binary forms, -// with or without modification of this file, are permitted under the terms of either -// (at the option of the user): +// Redistribution and use of source or resulting binaries, with or without modification +// of this file, are permitted under one of the following two license terms: // // 1. The GNU General Public License version 2 as published by the -// Free Software Foundation, which can be found in the top level directory, or at: -// https://www.gnu.org/licenses/old-licenses/gpl-2.0.en.html +// Free Software Foundation, which can be found in the top level directory of +// the repository (LICENSE_GPL2), and at: // // OR // -// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: -// https://github.com/analogdevicesinc/hdl/blob/dev/LICENSE +// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: +// https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD +// This will allow to generate bit files and not release the source code, +// as long as it attaches to an ADI device. // // *************************************************************************** // *************************************************************************** diff --git a/projects/fmcomms2/zed/system_top.v b/projects/fmcomms2/zed/system_top.v index bd1d844b2..719ca689c 100644 --- a/projects/fmcomms2/zed/system_top.v +++ b/projects/fmcomms2/zed/system_top.v @@ -2,21 +2,23 @@ // *************************************************************************** // Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved. // -// Each core or library found in this collection may have its own licensing terms. -// The user should keep this in in mind while exploring these cores. +// This core is distributed in the hope that it will be useful, but WITHOUT ANY +// WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR +// A PARTICULAR PURPOSE. // -// Redistribution and use in source and binary forms, -// with or without modification of this file, are permitted under the terms of either -// (at the option of the user): +// Redistribution and use of source or resulting binaries, with or without modification +// of this file, are permitted under one of the following two license terms: // // 1. The GNU General Public License version 2 as published by the -// Free Software Foundation, which can be found in the top level directory, or at: -// https://www.gnu.org/licenses/old-licenses/gpl-2.0.en.html +// Free Software Foundation, which can be found in the top level directory of +// the repository (LICENSE_GPL2), and at: // // OR // -// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: -// https://github.com/analogdevicesinc/hdl/blob/dev/LICENSE +// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: +// https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD +// This will allow to generate bit files and not release the source code, +// as long as it attaches to an ADI device. // // *************************************************************************** // *************************************************************************** diff --git a/projects/fmcomms5/zc702/system_top.v b/projects/fmcomms5/zc702/system_top.v index 70959a38a..e32457a82 100644 --- a/projects/fmcomms5/zc702/system_top.v +++ b/projects/fmcomms5/zc702/system_top.v @@ -2,21 +2,23 @@ // *************************************************************************** // Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved. // -// Each core or library found in this collection may have its own licensing terms. -// The user should keep this in in mind while exploring these cores. +// This core is distributed in the hope that it will be useful, but WITHOUT ANY +// WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR +// A PARTICULAR PURPOSE. // -// Redistribution and use in source and binary forms, -// with or without modification of this file, are permitted under the terms of either -// (at the option of the user): +// Redistribution and use of source or resulting binaries, with or without modification +// of this file, are permitted under one of the following two license terms: // // 1. The GNU General Public License version 2 as published by the -// Free Software Foundation, which can be found in the top level directory, or at: -// https://www.gnu.org/licenses/old-licenses/gpl-2.0.en.html +// Free Software Foundation, which can be found in the top level directory of +// the repository (LICENSE_GPL2), and at: // // OR // -// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: -// https://github.com/analogdevicesinc/hdl/blob/dev/LICENSE +// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: +// https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD +// This will allow to generate bit files and not release the source code, +// as long as it attaches to an ADI device. // // *************************************************************************** // *************************************************************************** diff --git a/projects/fmcomms5/zc706/system_top.v b/projects/fmcomms5/zc706/system_top.v index f8f8498d6..6db84ea4a 100644 --- a/projects/fmcomms5/zc706/system_top.v +++ b/projects/fmcomms5/zc706/system_top.v @@ -2,21 +2,23 @@ // *************************************************************************** // Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved. // -// Each core or library found in this collection may have its own licensing terms. -// The user should keep this in in mind while exploring these cores. +// This core is distributed in the hope that it will be useful, but WITHOUT ANY +// WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR +// A PARTICULAR PURPOSE. // -// Redistribution and use in source and binary forms, -// with or without modification of this file, are permitted under the terms of either -// (at the option of the user): +// Redistribution and use of source or resulting binaries, with or without modification +// of this file, are permitted under one of the following two license terms: // // 1. The GNU General Public License version 2 as published by the -// Free Software Foundation, which can be found in the top level directory, or at: -// https://www.gnu.org/licenses/old-licenses/gpl-2.0.en.html +// Free Software Foundation, which can be found in the top level directory of +// the repository (LICENSE_GPL2), and at: // // OR // -// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: -// https://github.com/analogdevicesinc/hdl/blob/dev/LICENSE +// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: +// https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD +// This will allow to generate bit files and not release the source code, +// as long as it attaches to an ADI device. // // *************************************************************************** // *************************************************************************** diff --git a/projects/fmcomms5/zcu102/system_top.v b/projects/fmcomms5/zcu102/system_top.v index e4cc97502..4b089697c 100644 --- a/projects/fmcomms5/zcu102/system_top.v +++ b/projects/fmcomms5/zcu102/system_top.v @@ -2,21 +2,23 @@ // *************************************************************************** // Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved. // -// Each core or library found in this collection may have its own licensing terms. -// The user should keep this in in mind while exploring these cores. +// This core is distributed in the hope that it will be useful, but WITHOUT ANY +// WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR +// A PARTICULAR PURPOSE. // -// Redistribution and use in source and binary forms, -// with or without modification of this file, are permitted under the terms of either -// (at the option of the user): +// Redistribution and use of source or resulting binaries, with or without modification +// of this file, are permitted under one of the following two license terms: // // 1. The GNU General Public License version 2 as published by the -// Free Software Foundation, which can be found in the top level directory, or at: -// https://www.gnu.org/licenses/old-licenses/gpl-2.0.en.html +// Free Software Foundation, which can be found in the top level directory of +// the repository (LICENSE_GPL2), and at: // // OR // -// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: -// https://github.com/analogdevicesinc/hdl/blob/dev/LICENSE +// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: +// https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD +// This will allow to generate bit files and not release the source code, +// as long as it attaches to an ADI device. // // *************************************************************************** // *************************************************************************** diff --git a/projects/fmcomms7/common/fmcomms7_spi.v b/projects/fmcomms7/common/fmcomms7_spi.v index 580bfde0a..9ec496bd8 100644 --- a/projects/fmcomms7/common/fmcomms7_spi.v +++ b/projects/fmcomms7/common/fmcomms7_spi.v @@ -2,21 +2,23 @@ // *************************************************************************** // Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved. // -// Each core or library found in this collection may have its own licensing terms. -// The user should keep this in in mind while exploring these cores. +// This core is distributed in the hope that it will be useful, but WITHOUT ANY +// WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR +// A PARTICULAR PURPOSE. // -// Redistribution and use in source and binary forms, -// with or without modification of this file, are permitted under the terms of either -// (at the option of the user): +// Redistribution and use of source or resulting binaries, with or without modification +// of this file, are permitted under one of the following two license terms: // // 1. The GNU General Public License version 2 as published by the -// Free Software Foundation, which can be found in the top level directory, or at: -// https://www.gnu.org/licenses/old-licenses/gpl-2.0.en.html +// Free Software Foundation, which can be found in the top level directory of +// the repository (LICENSE_GPL2), and at: // // OR // -// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: -// https://github.com/analogdevicesinc/hdl/blob/dev/LICENSE +// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: +// https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD +// This will allow to generate bit files and not release the source code, +// as long as it attaches to an ADI device. // // *************************************************************************** // *************************************************************************** diff --git a/projects/fmcomms7/zc706/system_top.v b/projects/fmcomms7/zc706/system_top.v index f46dc2015..587a3352a 100644 --- a/projects/fmcomms7/zc706/system_top.v +++ b/projects/fmcomms7/zc706/system_top.v @@ -2,21 +2,23 @@ // *************************************************************************** // Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved. // -// Each core or library found in this collection may have its own licensing terms. -// The user should keep this in in mind while exploring these cores. +// This core is distributed in the hope that it will be useful, but WITHOUT ANY +// WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR +// A PARTICULAR PURPOSE. // -// Redistribution and use in source and binary forms, -// with or without modification of this file, are permitted under the terms of either -// (at the option of the user): +// Redistribution and use of source or resulting binaries, with or without modification +// of this file, are permitted under one of the following two license terms: // // 1. The GNU General Public License version 2 as published by the -// Free Software Foundation, which can be found in the top level directory, or at: -// https://www.gnu.org/licenses/old-licenses/gpl-2.0.en.html +// Free Software Foundation, which can be found in the top level directory of +// the repository (LICENSE_GPL2), and at: // // OR // -// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: -// https://github.com/analogdevicesinc/hdl/blob/dev/LICENSE +// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: +// https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD +// This will allow to generate bit files and not release the source code, +// as long as it attaches to an ADI device. // // *************************************************************************** // *************************************************************************** diff --git a/projects/imageon/zc706/system_top.v b/projects/imageon/zc706/system_top.v index 14a7271c3..f93d02f62 100644 --- a/projects/imageon/zc706/system_top.v +++ b/projects/imageon/zc706/system_top.v @@ -2,21 +2,23 @@ // *************************************************************************** // Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved. // -// Each core or library found in this collection may have its own licensing terms. -// The user should keep this in in mind while exploring these cores. +// This core is distributed in the hope that it will be useful, but WITHOUT ANY +// WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR +// A PARTICULAR PURPOSE. // -// Redistribution and use in source and binary forms, -// with or without modification of this file, are permitted under the terms of either -// (at the option of the user): +// Redistribution and use of source or resulting binaries, with or without modification +// of this file, are permitted under one of the following two license terms: // // 1. The GNU General Public License version 2 as published by the -// Free Software Foundation, which can be found in the top level directory, or at: -// https://www.gnu.org/licenses/old-licenses/gpl-2.0.en.html +// Free Software Foundation, which can be found in the top level directory of +// the repository (LICENSE_GPL2), and at: // // OR // -// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: -// https://github.com/analogdevicesinc/hdl/blob/dev/LICENSE +// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: +// https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD +// This will allow to generate bit files and not release the source code, +// as long as it attaches to an ADI device. // // *************************************************************************** // *************************************************************************** diff --git a/projects/imageon/zed/system_top.v b/projects/imageon/zed/system_top.v index 4319dc39b..d09c2d10a 100644 --- a/projects/imageon/zed/system_top.v +++ b/projects/imageon/zed/system_top.v @@ -2,21 +2,23 @@ // *************************************************************************** // Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved. // -// Each core or library found in this collection may have its own licensing terms. -// The user should keep this in in mind while exploring these cores. +// This core is distributed in the hope that it will be useful, but WITHOUT ANY +// WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR +// A PARTICULAR PURPOSE. // -// Redistribution and use in source and binary forms, -// with or without modification of this file, are permitted under the terms of either -// (at the option of the user): +// Redistribution and use of source or resulting binaries, with or without modification +// of this file, are permitted under one of the following two license terms: // // 1. The GNU General Public License version 2 as published by the -// Free Software Foundation, which can be found in the top level directory, or at: -// https://www.gnu.org/licenses/old-licenses/gpl-2.0.en.html +// Free Software Foundation, which can be found in the top level directory of +// the repository (LICENSE_GPL2), and at: // // OR // -// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: -// https://github.com/analogdevicesinc/hdl/blob/dev/LICENSE +// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: +// https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD +// This will allow to generate bit files and not release the source code, +// as long as it attaches to an ADI device. // // *************************************************************************** // *************************************************************************** diff --git a/projects/m2k/common/m2k_spi.v b/projects/m2k/common/m2k_spi.v index 2f55571d4..7e6ec6463 100644 --- a/projects/m2k/common/m2k_spi.v +++ b/projects/m2k/common/m2k_spi.v @@ -2,21 +2,23 @@ // *************************************************************************** // Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved. // -// Each core or library found in this collection may have its own licensing terms. -// The user should keep this in in mind while exploring these cores. +// This core is distributed in the hope that it will be useful, but WITHOUT ANY +// WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR +// A PARTICULAR PURPOSE. // -// Redistribution and use in source and binary forms, -// with or without modification of this file, are permitted under the terms of either -// (at the option of the user): +// Redistribution and use of source or resulting binaries, with or without modification +// of this file, are permitted under one of the following two license terms: // // 1. The GNU General Public License version 2 as published by the -// Free Software Foundation, which can be found in the top level directory, or at: -// https://www.gnu.org/licenses/old-licenses/gpl-2.0.en.html +// Free Software Foundation, which can be found in the top level directory of +// the repository (LICENSE_GPL2), and at: // // OR // -// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: -// https://github.com/analogdevicesinc/hdl/blob/dev/LICENSE +// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: +// https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD +// This will allow to generate bit files and not release the source code, +// as long as it attaches to an ADI device. // // *************************************************************************** // *************************************************************************** diff --git a/projects/m2k/standalone/system_top.v b/projects/m2k/standalone/system_top.v index 16f5d728a..c105441c4 100644 --- a/projects/m2k/standalone/system_top.v +++ b/projects/m2k/standalone/system_top.v @@ -2,21 +2,23 @@ // *************************************************************************** // Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved. // -// Each core or library found in this collection may have its own licensing terms. -// The user should keep this in in mind while exploring these cores. +// This core is distributed in the hope that it will be useful, but WITHOUT ANY +// WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR +// A PARTICULAR PURPOSE. // -// Redistribution and use in source and binary forms, -// with or without modification of this file, are permitted under the terms of either -// (at the option of the user): +// Redistribution and use of source or resulting binaries, with or without modification +// of this file, are permitted under one of the following two license terms: // // 1. The GNU General Public License version 2 as published by the -// Free Software Foundation, which can be found in the top level directory, or at: -// https://www.gnu.org/licenses/old-licenses/gpl-2.0.en.html +// Free Software Foundation, which can be found in the top level directory of +// the repository (LICENSE_GPL2), and at: // // OR // -// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: -// https://github.com/analogdevicesinc/hdl/blob/dev/LICENSE +// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: +// https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD +// This will allow to generate bit files and not release the source code, +// as long as it attaches to an ADI device. // // *************************************************************************** // *************************************************************************** diff --git a/projects/m2k/zed/system_top.v b/projects/m2k/zed/system_top.v index b441c2b80..9c075e9c7 100644 --- a/projects/m2k/zed/system_top.v +++ b/projects/m2k/zed/system_top.v @@ -2,21 +2,23 @@ // *************************************************************************** // Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved. // -// Each core or library found in this collection may have its own licensing terms. -// The user should keep this in in mind while exploring these cores. +// This core is distributed in the hope that it will be useful, but WITHOUT ANY +// WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR +// A PARTICULAR PURPOSE. // -// Redistribution and use in source and binary forms, -// with or without modification of this file, are permitted under the terms of either -// (at the option of the user): +// Redistribution and use of source or resulting binaries, with or without modification +// of this file, are permitted under one of the following two license terms: // // 1. The GNU General Public License version 2 as published by the -// Free Software Foundation, which can be found in the top level directory, or at: -// https://www.gnu.org/licenses/old-licenses/gpl-2.0.en.html +// Free Software Foundation, which can be found in the top level directory of +// the repository (LICENSE_GPL2), and at: // // OR // -// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: -// https://github.com/analogdevicesinc/hdl/blob/dev/LICENSE +// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: +// https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD +// This will allow to generate bit files and not release the source code, +// as long as it attaches to an ADI device. // // *************************************************************************** // *************************************************************************** diff --git a/projects/motcon2_fmc/zed/system_top.v b/projects/motcon2_fmc/zed/system_top.v index 8de293340..a8fb8ef03 100644 --- a/projects/motcon2_fmc/zed/system_top.v +++ b/projects/motcon2_fmc/zed/system_top.v @@ -2,21 +2,23 @@ // *************************************************************************** // Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved. // -// Each core or library found in this collection may have its own licensing terms. -// The user should keep this in in mind while exploring these cores. +// This core is distributed in the hope that it will be useful, but WITHOUT ANY +// WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR +// A PARTICULAR PURPOSE. // -// Redistribution and use in source and binary forms, -// with or without modification of this file, are permitted under the terms of either -// (at the option of the user): +// Redistribution and use of source or resulting binaries, with or without modification +// of this file, are permitted under one of the following two license terms: // // 1. The GNU General Public License version 2 as published by the -// Free Software Foundation, which can be found in the top level directory, or at: -// https://www.gnu.org/licenses/old-licenses/gpl-2.0.en.html +// Free Software Foundation, which can be found in the top level directory of +// the repository (LICENSE_GPL2), and at: // // OR // -// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: -// https://github.com/analogdevicesinc/hdl/blob/dev/LICENSE +// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: +// https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD +// This will allow to generate bit files and not release the source code, +// as long as it attaches to an ADI device. // // *************************************************************************** // *************************************************************************** diff --git a/projects/pluto/system_top.v b/projects/pluto/system_top.v index 7d1250d4e..af8285ffb 100644 --- a/projects/pluto/system_top.v +++ b/projects/pluto/system_top.v @@ -2,21 +2,23 @@ // *************************************************************************** // Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved. // -// Each core or library found in this collection may have its own licensing terms. -// The user should keep this in in mind while exploring these cores. +// This core is distributed in the hope that it will be useful, but WITHOUT ANY +// WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR +// A PARTICULAR PURPOSE. // -// Redistribution and use in source and binary forms, -// with or without modification of this file, are permitted under the terms of either -// (at the option of the user): +// Redistribution and use of source or resulting binaries, with or without modification +// of this file, are permitted under one of the following two license terms: // // 1. The GNU General Public License version 2 as published by the -// Free Software Foundation, which can be found in the top level directory, or at: -// https://www.gnu.org/licenses/old-licenses/gpl-2.0.en.html +// Free Software Foundation, which can be found in the top level directory of +// the repository (LICENSE_GPL2), and at: // // OR // -// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: -// https://github.com/analogdevicesinc/hdl/blob/dev/LICENSE +// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: +// https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD +// This will allow to generate bit files and not release the source code, +// as long as it attaches to an ADI device. // // *************************************************************************** // *************************************************************************** diff --git a/projects/usb_fx3/zc706/system_top.v b/projects/usb_fx3/zc706/system_top.v index 83061386c..53779c9db 100644 --- a/projects/usb_fx3/zc706/system_top.v +++ b/projects/usb_fx3/zc706/system_top.v @@ -2,21 +2,23 @@ // *************************************************************************** // Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved. // -// Each core or library found in this collection may have its own licensing terms. -// The user should keep this in in mind while exploring these cores. +// This core is distributed in the hope that it will be useful, but WITHOUT ANY +// WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR +// A PARTICULAR PURPOSE. // -// Redistribution and use in source and binary forms, -// with or without modification of this file, are permitted under the terms of either -// (at the option of the user): +// Redistribution and use of source or resulting binaries, with or without modification +// of this file, are permitted under one of the following two license terms: // // 1. The GNU General Public License version 2 as published by the -// Free Software Foundation, which can be found in the top level directory, or at: -// https://www.gnu.org/licenses/old-licenses/gpl-2.0.en.html +// Free Software Foundation, which can be found in the top level directory of +// the repository (LICENSE_GPL2), and at: // // OR // -// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: -// https://github.com/analogdevicesinc/hdl/blob/dev/LICENSE +// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: +// https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD +// This will allow to generate bit files and not release the source code, +// as long as it attaches to an ADI device. // // *************************************************************************** // *************************************************************************** diff --git a/projects/usdrx1/a5gt/system_top.v b/projects/usdrx1/a5gt/system_top.v index e66d2f95a..ece143632 100644 --- a/projects/usdrx1/a5gt/system_top.v +++ b/projects/usdrx1/a5gt/system_top.v @@ -2,21 +2,23 @@ // *************************************************************************** // Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved. // -// Each core or library found in this collection may have its own licensing terms. -// The user should keep this in in mind while exploring these cores. +// This core is distributed in the hope that it will be useful, but WITHOUT ANY +// WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR +// A PARTICULAR PURPOSE. // -// Redistribution and use in source and binary forms, -// with or without modification of this file, are permitted under the terms of either -// (at the option of the user): +// Redistribution and use of source or resulting binaries, with or without modification +// of this file, are permitted under one of the following two license terms: // // 1. The GNU General Public License version 2 as published by the -// Free Software Foundation, which can be found in the top level directory, or at: -// https://www.gnu.org/licenses/old-licenses/gpl-2.0.en.html +// Free Software Foundation, which can be found in the top level directory of +// the repository (LICENSE_GPL2), and at: // // OR // -// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: -// https://github.com/analogdevicesinc/hdl/blob/dev/LICENSE +// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: +// https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD +// This will allow to generate bit files and not release the source code, +// as long as it attaches to an ADI device. // // *************************************************************************** // *************************************************************************** diff --git a/projects/usdrx1/common/usdrx1_spi.v b/projects/usdrx1/common/usdrx1_spi.v index 50a43f3f0..f23da66e5 100644 --- a/projects/usdrx1/common/usdrx1_spi.v +++ b/projects/usdrx1/common/usdrx1_spi.v @@ -2,21 +2,23 @@ // *************************************************************************** // Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved. // -// Each core or library found in this collection may have its own licensing terms. -// The user should keep this in in mind while exploring these cores. +// This core is distributed in the hope that it will be useful, but WITHOUT ANY +// WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR +// A PARTICULAR PURPOSE. // -// Redistribution and use in source and binary forms, -// with or without modification of this file, are permitted under the terms of either -// (at the option of the user): +// Redistribution and use of source or resulting binaries, with or without modification +// of this file, are permitted under one of the following two license terms: // // 1. The GNU General Public License version 2 as published by the -// Free Software Foundation, which can be found in the top level directory, or at: -// https://www.gnu.org/licenses/old-licenses/gpl-2.0.en.html +// Free Software Foundation, which can be found in the top level directory of +// the repository (LICENSE_GPL2), and at: // // OR // -// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: -// https://github.com/analogdevicesinc/hdl/blob/dev/LICENSE +// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: +// https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD +// This will allow to generate bit files and not release the source code, +// as long as it attaches to an ADI device. // // *************************************************************************** // *************************************************************************** diff --git a/projects/usdrx1/cpld/usdrx1_cpld.v b/projects/usdrx1/cpld/usdrx1_cpld.v index 2a9e907f1..cee3b24ef 100644 --- a/projects/usdrx1/cpld/usdrx1_cpld.v +++ b/projects/usdrx1/cpld/usdrx1_cpld.v @@ -2,21 +2,23 @@ // *************************************************************************** // Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved. // -// Each core or library found in this collection may have its own licensing terms. -// The user should keep this in in mind while exploring these cores. +// This core is distributed in the hope that it will be useful, but WITHOUT ANY +// WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR +// A PARTICULAR PURPOSE. // -// Redistribution and use in source and binary forms, -// with or without modification of this file, are permitted under the terms of either -// (at the option of the user): +// Redistribution and use of source or resulting binaries, with or without modification +// of this file, are permitted under one of the following two license terms: // // 1. The GNU General Public License version 2 as published by the -// Free Software Foundation, which can be found in the top level directory, or at: -// https://www.gnu.org/licenses/old-licenses/gpl-2.0.en.html +// Free Software Foundation, which can be found in the top level directory of +// the repository (LICENSE_GPL2), and at: // // OR // -// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: -// https://github.com/analogdevicesinc/hdl/blob/dev/LICENSE +// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: +// https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD +// This will allow to generate bit files and not release the source code, +// as long as it attaches to an ADI device. // // *************************************************************************** // *************************************************************************** diff --git a/projects/usdrx1/zc706/system_top.v b/projects/usdrx1/zc706/system_top.v index b30230bab..c56cd5f03 100644 --- a/projects/usdrx1/zc706/system_top.v +++ b/projects/usdrx1/zc706/system_top.v @@ -2,21 +2,23 @@ // *************************************************************************** // Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved. // -// Each core or library found in this collection may have its own licensing terms. -// The user should keep this in in mind while exploring these cores. +// This core is distributed in the hope that it will be useful, but WITHOUT ANY +// WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR +// A PARTICULAR PURPOSE. // -// Redistribution and use in source and binary forms, -// with or without modification of this file, are permitted under the terms of either -// (at the option of the user): +// Redistribution and use of source or resulting binaries, with or without modification +// of this file, are permitted under one of the following two license terms: // // 1. The GNU General Public License version 2 as published by the -// Free Software Foundation, which can be found in the top level directory, or at: -// https://www.gnu.org/licenses/old-licenses/gpl-2.0.en.html +// Free Software Foundation, which can be found in the top level directory of +// the repository (LICENSE_GPL2), and at: // // OR // -// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: -// https://github.com/analogdevicesinc/hdl/blob/dev/LICENSE +// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: +// https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD +// This will allow to generate bit files and not release the source code, +// as long as it attaches to an ADI device. // // *************************************************************************** // *************************************************************************** diff --git a/projects/usrpe31x/system_top.v b/projects/usrpe31x/system_top.v index 68e5648fe..32a90ef3e 100644 --- a/projects/usrpe31x/system_top.v +++ b/projects/usrpe31x/system_top.v @@ -2,21 +2,23 @@ // *************************************************************************** // Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved. // -// Each core or library found in this collection may have its own licensing terms. -// The user should keep this in in mind while exploring these cores. +// This core is distributed in the hope that it will be useful, but WITHOUT ANY +// WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR +// A PARTICULAR PURPOSE. // -// Redistribution and use in source and binary forms, -// with or without modification of this file, are permitted under the terms of either -// (at the option of the user): +// Redistribution and use of source or resulting binaries, with or without modification +// of this file, are permitted under one of the following two license terms: // // 1. The GNU General Public License version 2 as published by the -// Free Software Foundation, which can be found in the top level directory, or at: -// https://www.gnu.org/licenses/old-licenses/gpl-2.0.en.html +// Free Software Foundation, which can be found in the top level directory of +// the repository (LICENSE_GPL2), and at: // // OR // -// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: -// https://github.com/analogdevicesinc/hdl/blob/dev/LICENSE +// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: +// https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD +// This will allow to generate bit files and not release the source code, +// as long as it attaches to an ADI device. // // *************************************************************************** // ***************************************************************************