license: Add some clarification to the header license

main
Istvan Csomortani 2017-05-31 18:15:24 +03:00
parent b6d5dbf1fc
commit 84b2ad51e2
439 changed files with 6174 additions and 1776 deletions

View File

@ -2,7 +2,15 @@
// *************************************************************************** // ***************************************************************************
// Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved. // Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved.
// //
// This core is distributed in the hope that it will be useful, but WITHOUT ANY // In this HDL repository, there are many different and unique modules, consisting
// of various HDL (Verilog or VHDL) components. The individual modules are
// developed independently, and may be accompanied by separate and unique license
// terms.
//
// The user should read each of these license terms, and understand the
// freedoms and responsabilities that he or she has by using this source/core.
//
// This core is distributed in the hope that it will be useful, but WITHOUT ANY
// WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR // WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR
// A PARTICULAR PURPOSE. // A PARTICULAR PURPOSE.
// //
@ -10,12 +18,14 @@
// of this file, are permitted under one of the following two license terms: // of this file, are permitted under one of the following two license terms:
// //
// 1. The GNU General Public License version 2 as published by the // 1. The GNU General Public License version 2 as published by the
// Free Software Foundation, which can be found in the top level directory of // Free Software Foundation, which can be found in the top level directory
// the repository (LICENSE_GPL2), and at: <https://www.gnu.org/licenses/old-licenses/gpl-2.0.html> // of this repository (LICENSE_GPL2), and also online at:
// <https://www.gnu.org/licenses/old-licenses/gpl-2.0.html>
// //
// OR // OR
// //
// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: // 2. An ADI specific BSD license, which can be found in the top level directory
// of this repository (LICENSE_ADIBSD), and also on-line at:
// https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD // https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD
// This will allow to generate bit files and not release the source code, // This will allow to generate bit files and not release the source code,
// as long as it attaches to an ADI device. // as long as it attaches to an ADI device.

View File

@ -2,7 +2,15 @@
// *************************************************************************** // ***************************************************************************
// Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved. // Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved.
// //
// This core is distributed in the hope that it will be useful, but WITHOUT ANY // In this HDL repository, there are many different and unique modules, consisting
// of various HDL (Verilog or VHDL) components. The individual modules are
// developed independently, and may be accompanied by separate and unique license
// terms.
//
// The user should read each of these license terms, and understand the
// freedoms and responsabilities that he or she has by using this source/core.
//
// This core is distributed in the hope that it will be useful, but WITHOUT ANY
// WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR // WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR
// A PARTICULAR PURPOSE. // A PARTICULAR PURPOSE.
// //
@ -10,12 +18,14 @@
// of this file, are permitted under one of the following two license terms: // of this file, are permitted under one of the following two license terms:
// //
// 1. The GNU General Public License version 2 as published by the // 1. The GNU General Public License version 2 as published by the
// Free Software Foundation, which can be found in the top level directory of // Free Software Foundation, which can be found in the top level directory
// the repository (LICENSE_GPL2), and at: <https://www.gnu.org/licenses/old-licenses/gpl-2.0.html> // of this repository (LICENSE_GPL2), and also online at:
// <https://www.gnu.org/licenses/old-licenses/gpl-2.0.html>
// //
// OR // OR
// //
// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: // 2. An ADI specific BSD license, which can be found in the top level directory
// of this repository (LICENSE_ADIBSD), and also on-line at:
// https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD // https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD
// This will allow to generate bit files and not release the source code, // This will allow to generate bit files and not release the source code,
// as long as it attaches to an ADI device. // as long as it attaches to an ADI device.

View File

@ -2,7 +2,15 @@
// *************************************************************************** // ***************************************************************************
// Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved. // Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved.
// //
// This core is distributed in the hope that it will be useful, but WITHOUT ANY // In this HDL repository, there are many different and unique modules, consisting
// of various HDL (Verilog or VHDL) components. The individual modules are
// developed independently, and may be accompanied by separate and unique license
// terms.
//
// The user should read each of these license terms, and understand the
// freedoms and responsabilities that he or she has by using this source/core.
//
// This core is distributed in the hope that it will be useful, but WITHOUT ANY
// WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR // WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR
// A PARTICULAR PURPOSE. // A PARTICULAR PURPOSE.
// //
@ -10,12 +18,14 @@
// of this file, are permitted under one of the following two license terms: // of this file, are permitted under one of the following two license terms:
// //
// 1. The GNU General Public License version 2 as published by the // 1. The GNU General Public License version 2 as published by the
// Free Software Foundation, which can be found in the top level directory of // Free Software Foundation, which can be found in the top level directory
// the repository (LICENSE_GPL2), and at: <https://www.gnu.org/licenses/old-licenses/gpl-2.0.html> // of this repository (LICENSE_GPL2), and also online at:
// <https://www.gnu.org/licenses/old-licenses/gpl-2.0.html>
// //
// OR // OR
// //
// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: // 2. An ADI specific BSD license, which can be found in the top level directory
// of this repository (LICENSE_ADIBSD), and also on-line at:
// https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD // https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD
// This will allow to generate bit files and not release the source code, // This will allow to generate bit files and not release the source code,
// as long as it attaches to an ADI device. // as long as it attaches to an ADI device.

View File

@ -2,7 +2,15 @@
// *************************************************************************** // ***************************************************************************
// Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved. // Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved.
// //
// This core is distributed in the hope that it will be useful, but WITHOUT ANY // In this HDL repository, there are many different and unique modules, consisting
// of various HDL (Verilog or VHDL) components. The individual modules are
// developed independently, and may be accompanied by separate and unique license
// terms.
//
// The user should read each of these license terms, and understand the
// freedoms and responsabilities that he or she has by using this source/core.
//
// This core is distributed in the hope that it will be useful, but WITHOUT ANY
// WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR // WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR
// A PARTICULAR PURPOSE. // A PARTICULAR PURPOSE.
// //
@ -10,12 +18,14 @@
// of this file, are permitted under one of the following two license terms: // of this file, are permitted under one of the following two license terms:
// //
// 1. The GNU General Public License version 2 as published by the // 1. The GNU General Public License version 2 as published by the
// Free Software Foundation, which can be found in the top level directory of // Free Software Foundation, which can be found in the top level directory
// the repository (LICENSE_GPL2), and at: <https://www.gnu.org/licenses/old-licenses/gpl-2.0.html> // of this repository (LICENSE_GPL2), and also online at:
// <https://www.gnu.org/licenses/old-licenses/gpl-2.0.html>
// //
// OR // OR
// //
// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: // 2. An ADI specific BSD license, which can be found in the top level directory
// of this repository (LICENSE_ADIBSD), and also on-line at:
// https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD // https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD
// This will allow to generate bit files and not release the source code, // This will allow to generate bit files and not release the source code,
// as long as it attaches to an ADI device. // as long as it attaches to an ADI device.

View File

@ -2,7 +2,15 @@
// *************************************************************************** // ***************************************************************************
// Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved. // Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved.
// //
// This core is distributed in the hope that it will be useful, but WITHOUT ANY // In this HDL repository, there are many different and unique modules, consisting
// of various HDL (Verilog or VHDL) components. The individual modules are
// developed independently, and may be accompanied by separate and unique license
// terms.
//
// The user should read each of these license terms, and understand the
// freedoms and responsabilities that he or she has by using this source/core.
//
// This core is distributed in the hope that it will be useful, but WITHOUT ANY
// WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR // WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR
// A PARTICULAR PURPOSE. // A PARTICULAR PURPOSE.
// //
@ -10,12 +18,14 @@
// of this file, are permitted under one of the following two license terms: // of this file, are permitted under one of the following two license terms:
// //
// 1. The GNU General Public License version 2 as published by the // 1. The GNU General Public License version 2 as published by the
// Free Software Foundation, which can be found in the top level directory of // Free Software Foundation, which can be found in the top level directory
// the repository (LICENSE_GPL2), and at: <https://www.gnu.org/licenses/old-licenses/gpl-2.0.html> // of this repository (LICENSE_GPL2), and also online at:
// <https://www.gnu.org/licenses/old-licenses/gpl-2.0.html>
// //
// OR // OR
// //
// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: // 2. An ADI specific BSD license, which can be found in the top level directory
// of this repository (LICENSE_ADIBSD), and also on-line at:
// https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD // https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD
// This will allow to generate bit files and not release the source code, // This will allow to generate bit files and not release the source code,
// as long as it attaches to an ADI device. // as long as it attaches to an ADI device.

View File

@ -2,7 +2,15 @@
// *************************************************************************** // ***************************************************************************
// Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved. // Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved.
// //
// This core is distributed in the hope that it will be useful, but WITHOUT ANY // In this HDL repository, there are many different and unique modules, consisting
// of various HDL (Verilog or VHDL) components. The individual modules are
// developed independently, and may be accompanied by separate and unique license
// terms.
//
// The user should read each of these license terms, and understand the
// freedoms and responsabilities that he or she has by using this source/core.
//
// This core is distributed in the hope that it will be useful, but WITHOUT ANY
// WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR // WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR
// A PARTICULAR PURPOSE. // A PARTICULAR PURPOSE.
// //
@ -10,12 +18,14 @@
// of this file, are permitted under one of the following two license terms: // of this file, are permitted under one of the following two license terms:
// //
// 1. The GNU General Public License version 2 as published by the // 1. The GNU General Public License version 2 as published by the
// Free Software Foundation, which can be found in the top level directory of // Free Software Foundation, which can be found in the top level directory
// the repository (LICENSE_GPL2), and at: <https://www.gnu.org/licenses/old-licenses/gpl-2.0.html> // of this repository (LICENSE_GPL2), and also online at:
// <https://www.gnu.org/licenses/old-licenses/gpl-2.0.html>
// //
// OR // OR
// //
// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: // 2. An ADI specific BSD license, which can be found in the top level directory
// of this repository (LICENSE_ADIBSD), and also on-line at:
// https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD // https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD
// This will allow to generate bit files and not release the source code, // This will allow to generate bit files and not release the source code,
// as long as it attaches to an ADI device. // as long as it attaches to an ADI device.

View File

@ -2,7 +2,15 @@
// *************************************************************************** // ***************************************************************************
// Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved. // Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved.
// //
// This core is distributed in the hope that it will be useful, but WITHOUT ANY // In this HDL repository, there are many different and unique modules, consisting
// of various HDL (Verilog or VHDL) components. The individual modules are
// developed independently, and may be accompanied by separate and unique license
// terms.
//
// The user should read each of these license terms, and understand the
// freedoms and responsabilities that he or she has by using this source/core.
//
// This core is distributed in the hope that it will be useful, but WITHOUT ANY
// WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR // WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR
// A PARTICULAR PURPOSE. // A PARTICULAR PURPOSE.
// //
@ -10,12 +18,14 @@
// of this file, are permitted under one of the following two license terms: // of this file, are permitted under one of the following two license terms:
// //
// 1. The GNU General Public License version 2 as published by the // 1. The GNU General Public License version 2 as published by the
// Free Software Foundation, which can be found in the top level directory of // Free Software Foundation, which can be found in the top level directory
// the repository (LICENSE_GPL2), and at: <https://www.gnu.org/licenses/old-licenses/gpl-2.0.html> // of this repository (LICENSE_GPL2), and also online at:
// <https://www.gnu.org/licenses/old-licenses/gpl-2.0.html>
// //
// OR // OR
// //
// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: // 2. An ADI specific BSD license, which can be found in the top level directory
// of this repository (LICENSE_ADIBSD), and also on-line at:
// https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD // https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD
// This will allow to generate bit files and not release the source code, // This will allow to generate bit files and not release the source code,
// as long as it attaches to an ADI device. // as long as it attaches to an ADI device.

View File

@ -2,7 +2,15 @@
// *************************************************************************** // ***************************************************************************
// Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved. // Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved.
// //
// This core is distributed in the hope that it will be useful, but WITHOUT ANY // In this HDL repository, there are many different and unique modules, consisting
// of various HDL (Verilog or VHDL) components. The individual modules are
// developed independently, and may be accompanied by separate and unique license
// terms.
//
// The user should read each of these license terms, and understand the
// freedoms and responsabilities that he or she has by using this source/core.
//
// This core is distributed in the hope that it will be useful, but WITHOUT ANY
// WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR // WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR
// A PARTICULAR PURPOSE. // A PARTICULAR PURPOSE.
// //
@ -10,12 +18,14 @@
// of this file, are permitted under one of the following two license terms: // of this file, are permitted under one of the following two license terms:
// //
// 1. The GNU General Public License version 2 as published by the // 1. The GNU General Public License version 2 as published by the
// Free Software Foundation, which can be found in the top level directory of // Free Software Foundation, which can be found in the top level directory
// the repository (LICENSE_GPL2), and at: <https://www.gnu.org/licenses/old-licenses/gpl-2.0.html> // of this repository (LICENSE_GPL2), and also online at:
// <https://www.gnu.org/licenses/old-licenses/gpl-2.0.html>
// //
// OR // OR
// //
// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: // 2. An ADI specific BSD license, which can be found in the top level directory
// of this repository (LICENSE_ADIBSD), and also on-line at:
// https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD // https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD
// This will allow to generate bit files and not release the source code, // This will allow to generate bit files and not release the source code,
// as long as it attaches to an ADI device. // as long as it attaches to an ADI device.

View File

@ -2,7 +2,15 @@
// *************************************************************************** // ***************************************************************************
// Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved. // Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved.
// //
// This core is distributed in the hope that it will be useful, but WITHOUT ANY // In this HDL repository, there are many different and unique modules, consisting
// of various HDL (Verilog or VHDL) components. The individual modules are
// developed independently, and may be accompanied by separate and unique license
// terms.
//
// The user should read each of these license terms, and understand the
// freedoms and responsabilities that he or she has by using this source/core.
//
// This core is distributed in the hope that it will be useful, but WITHOUT ANY
// WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR // WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR
// A PARTICULAR PURPOSE. // A PARTICULAR PURPOSE.
// //
@ -10,12 +18,14 @@
// of this file, are permitted under one of the following two license terms: // of this file, are permitted under one of the following two license terms:
// //
// 1. The GNU General Public License version 2 as published by the // 1. The GNU General Public License version 2 as published by the
// Free Software Foundation, which can be found in the top level directory of // Free Software Foundation, which can be found in the top level directory
// the repository (LICENSE_GPL2), and at: <https://www.gnu.org/licenses/old-licenses/gpl-2.0.html> // of this repository (LICENSE_GPL2), and also online at:
// <https://www.gnu.org/licenses/old-licenses/gpl-2.0.html>
// //
// OR // OR
// //
// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: // 2. An ADI specific BSD license, which can be found in the top level directory
// of this repository (LICENSE_ADIBSD), and also on-line at:
// https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD // https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD
// This will allow to generate bit files and not release the source code, // This will allow to generate bit files and not release the source code,
// as long as it attaches to an ADI device. // as long as it attaches to an ADI device.

View File

@ -2,7 +2,15 @@
// *************************************************************************** // ***************************************************************************
// Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved. // Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved.
// //
// This core is distributed in the hope that it will be useful, but WITHOUT ANY // In this HDL repository, there are many different and unique modules, consisting
// of various HDL (Verilog or VHDL) components. The individual modules are
// developed independently, and may be accompanied by separate and unique license
// terms.
//
// The user should read each of these license terms, and understand the
// freedoms and responsabilities that he or she has by using this source/core.
//
// This core is distributed in the hope that it will be useful, but WITHOUT ANY
// WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR // WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR
// A PARTICULAR PURPOSE. // A PARTICULAR PURPOSE.
// //
@ -10,12 +18,14 @@
// of this file, are permitted under one of the following two license terms: // of this file, are permitted under one of the following two license terms:
// //
// 1. The GNU General Public License version 2 as published by the // 1. The GNU General Public License version 2 as published by the
// Free Software Foundation, which can be found in the top level directory of // Free Software Foundation, which can be found in the top level directory
// the repository (LICENSE_GPL2), and at: <https://www.gnu.org/licenses/old-licenses/gpl-2.0.html> // of this repository (LICENSE_GPL2), and also online at:
// <https://www.gnu.org/licenses/old-licenses/gpl-2.0.html>
// //
// OR // OR
// //
// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: // 2. An ADI specific BSD license, which can be found in the top level directory
// of this repository (LICENSE_ADIBSD), and also on-line at:
// https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD // https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD
// This will allow to generate bit files and not release the source code, // This will allow to generate bit files and not release the source code,
// as long as it attaches to an ADI device. // as long as it attaches to an ADI device.

View File

@ -2,7 +2,15 @@
// *************************************************************************** // ***************************************************************************
// Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved. // Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved.
// //
// This core is distributed in the hope that it will be useful, but WITHOUT ANY // In this HDL repository, there are many different and unique modules, consisting
// of various HDL (Verilog or VHDL) components. The individual modules are
// developed independently, and may be accompanied by separate and unique license
// terms.
//
// The user should read each of these license terms, and understand the
// freedoms and responsabilities that he or she has by using this source/core.
//
// This core is distributed in the hope that it will be useful, but WITHOUT ANY
// WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR // WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR
// A PARTICULAR PURPOSE. // A PARTICULAR PURPOSE.
// //
@ -10,12 +18,14 @@
// of this file, are permitted under one of the following two license terms: // of this file, are permitted under one of the following two license terms:
// //
// 1. The GNU General Public License version 2 as published by the // 1. The GNU General Public License version 2 as published by the
// Free Software Foundation, which can be found in the top level directory of // Free Software Foundation, which can be found in the top level directory
// the repository (LICENSE_GPL2), and at: <https://www.gnu.org/licenses/old-licenses/gpl-2.0.html> // of this repository (LICENSE_GPL2), and also online at:
// <https://www.gnu.org/licenses/old-licenses/gpl-2.0.html>
// //
// OR // OR
// //
// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: // 2. An ADI specific BSD license, which can be found in the top level directory
// of this repository (LICENSE_ADIBSD), and also on-line at:
// https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD // https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD
// This will allow to generate bit files and not release the source code, // This will allow to generate bit files and not release the source code,
// as long as it attaches to an ADI device. // as long as it attaches to an ADI device.

View File

@ -2,7 +2,15 @@
// *************************************************************************** // ***************************************************************************
// Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved. // Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved.
// //
// This core is distributed in the hope that it will be useful, but WITHOUT ANY // In this HDL repository, there are many different and unique modules, consisting
// of various HDL (Verilog or VHDL) components. The individual modules are
// developed independently, and may be accompanied by separate and unique license
// terms.
//
// The user should read each of these license terms, and understand the
// freedoms and responsabilities that he or she has by using this source/core.
//
// This core is distributed in the hope that it will be useful, but WITHOUT ANY
// WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR // WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR
// A PARTICULAR PURPOSE. // A PARTICULAR PURPOSE.
// //
@ -10,12 +18,14 @@
// of this file, are permitted under one of the following two license terms: // of this file, are permitted under one of the following two license terms:
// //
// 1. The GNU General Public License version 2 as published by the // 1. The GNU General Public License version 2 as published by the
// Free Software Foundation, which can be found in the top level directory of // Free Software Foundation, which can be found in the top level directory
// the repository (LICENSE_GPL2), and at: <https://www.gnu.org/licenses/old-licenses/gpl-2.0.html> // of this repository (LICENSE_GPL2), and also online at:
// <https://www.gnu.org/licenses/old-licenses/gpl-2.0.html>
// //
// OR // OR
// //
// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: // 2. An ADI specific BSD license, which can be found in the top level directory
// of this repository (LICENSE_ADIBSD), and also on-line at:
// https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD // https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD
// This will allow to generate bit files and not release the source code, // This will allow to generate bit files and not release the source code,
// as long as it attaches to an ADI device. // as long as it attaches to an ADI device.

View File

@ -2,7 +2,15 @@
// *************************************************************************** // ***************************************************************************
// Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved. // Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved.
// //
// This core is distributed in the hope that it will be useful, but WITHOUT ANY // In this HDL repository, there are many different and unique modules, consisting
// of various HDL (Verilog or VHDL) components. The individual modules are
// developed independently, and may be accompanied by separate and unique license
// terms.
//
// The user should read each of these license terms, and understand the
// freedoms and responsabilities that he or she has by using this source/core.
//
// This core is distributed in the hope that it will be useful, but WITHOUT ANY
// WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR // WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR
// A PARTICULAR PURPOSE. // A PARTICULAR PURPOSE.
// //
@ -10,12 +18,14 @@
// of this file, are permitted under one of the following two license terms: // of this file, are permitted under one of the following two license terms:
// //
// 1. The GNU General Public License version 2 as published by the // 1. The GNU General Public License version 2 as published by the
// Free Software Foundation, which can be found in the top level directory of // Free Software Foundation, which can be found in the top level directory
// the repository (LICENSE_GPL2), and at: <https://www.gnu.org/licenses/old-licenses/gpl-2.0.html> // of this repository (LICENSE_GPL2), and also online at:
// <https://www.gnu.org/licenses/old-licenses/gpl-2.0.html>
// //
// OR // OR
// //
// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: // 2. An ADI specific BSD license, which can be found in the top level directory
// of this repository (LICENSE_ADIBSD), and also on-line at:
// https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD // https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD
// This will allow to generate bit files and not release the source code, // This will allow to generate bit files and not release the source code,
// as long as it attaches to an ADI device. // as long as it attaches to an ADI device.

View File

@ -2,7 +2,15 @@
// *************************************************************************** // ***************************************************************************
// Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved. // Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved.
// //
// This core is distributed in the hope that it will be useful, but WITHOUT ANY // In this HDL repository, there are many different and unique modules, consisting
// of various HDL (Verilog or VHDL) components. The individual modules are
// developed independently, and may be accompanied by separate and unique license
// terms.
//
// The user should read each of these license terms, and understand the
// freedoms and responsabilities that he or she has by using this source/core.
//
// This core is distributed in the hope that it will be useful, but WITHOUT ANY
// WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR // WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR
// A PARTICULAR PURPOSE. // A PARTICULAR PURPOSE.
// //
@ -10,12 +18,14 @@
// of this file, are permitted under one of the following two license terms: // of this file, are permitted under one of the following two license terms:
// //
// 1. The GNU General Public License version 2 as published by the // 1. The GNU General Public License version 2 as published by the
// Free Software Foundation, which can be found in the top level directory of // Free Software Foundation, which can be found in the top level directory
// the repository (LICENSE_GPL2), and at: <https://www.gnu.org/licenses/old-licenses/gpl-2.0.html> // of this repository (LICENSE_GPL2), and also online at:
// <https://www.gnu.org/licenses/old-licenses/gpl-2.0.html>
// //
// OR // OR
// //
// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: // 2. An ADI specific BSD license, which can be found in the top level directory
// of this repository (LICENSE_ADIBSD), and also on-line at:
// https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD // https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD
// This will allow to generate bit files and not release the source code, // This will allow to generate bit files and not release the source code,
// as long as it attaches to an ADI device. // as long as it attaches to an ADI device.

View File

@ -2,7 +2,15 @@
// *************************************************************************** // ***************************************************************************
// Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved. // Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved.
// //
// This core is distributed in the hope that it will be useful, but WITHOUT ANY // In this HDL repository, there are many different and unique modules, consisting
// of various HDL (Verilog or VHDL) components. The individual modules are
// developed independently, and may be accompanied by separate and unique license
// terms.
//
// The user should read each of these license terms, and understand the
// freedoms and responsabilities that he or she has by using this source/core.
//
// This core is distributed in the hope that it will be useful, but WITHOUT ANY
// WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR // WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR
// A PARTICULAR PURPOSE. // A PARTICULAR PURPOSE.
// //
@ -10,12 +18,14 @@
// of this file, are permitted under one of the following two license terms: // of this file, are permitted under one of the following two license terms:
// //
// 1. The GNU General Public License version 2 as published by the // 1. The GNU General Public License version 2 as published by the
// Free Software Foundation, which can be found in the top level directory of // Free Software Foundation, which can be found in the top level directory
// the repository (LICENSE_GPL2), and at: <https://www.gnu.org/licenses/old-licenses/gpl-2.0.html> // of this repository (LICENSE_GPL2), and also online at:
// <https://www.gnu.org/licenses/old-licenses/gpl-2.0.html>
// //
// OR // OR
// //
// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: // 2. An ADI specific BSD license, which can be found in the top level directory
// of this repository (LICENSE_ADIBSD), and also on-line at:
// https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD // https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD
// This will allow to generate bit files and not release the source code, // This will allow to generate bit files and not release the source code,
// as long as it attaches to an ADI device. // as long as it attaches to an ADI device.

View File

@ -2,7 +2,15 @@
// *************************************************************************** // ***************************************************************************
// Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved. // Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved.
// //
// This core is distributed in the hope that it will be useful, but WITHOUT ANY // In this HDL repository, there are many different and unique modules, consisting
// of various HDL (Verilog or VHDL) components. The individual modules are
// developed independently, and may be accompanied by separate and unique license
// terms.
//
// The user should read each of these license terms, and understand the
// freedoms and responsabilities that he or she has by using this source/core.
//
// This core is distributed in the hope that it will be useful, but WITHOUT ANY
// WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR // WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR
// A PARTICULAR PURPOSE. // A PARTICULAR PURPOSE.
// //
@ -10,12 +18,14 @@
// of this file, are permitted under one of the following two license terms: // of this file, are permitted under one of the following two license terms:
// //
// 1. The GNU General Public License version 2 as published by the // 1. The GNU General Public License version 2 as published by the
// Free Software Foundation, which can be found in the top level directory of // Free Software Foundation, which can be found in the top level directory
// the repository (LICENSE_GPL2), and at: <https://www.gnu.org/licenses/old-licenses/gpl-2.0.html> // of this repository (LICENSE_GPL2), and also online at:
// <https://www.gnu.org/licenses/old-licenses/gpl-2.0.html>
// //
// OR // OR
// //
// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: // 2. An ADI specific BSD license, which can be found in the top level directory
// of this repository (LICENSE_ADIBSD), and also on-line at:
// https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD // https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD
// This will allow to generate bit files and not release the source code, // This will allow to generate bit files and not release the source code,
// as long as it attaches to an ADI device. // as long as it attaches to an ADI device.

View File

@ -2,7 +2,15 @@
// *************************************************************************** // ***************************************************************************
// Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved. // Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved.
// //
// This core is distributed in the hope that it will be useful, but WITHOUT ANY // In this HDL repository, there are many different and unique modules, consisting
// of various HDL (Verilog or VHDL) components. The individual modules are
// developed independently, and may be accompanied by separate and unique license
// terms.
//
// The user should read each of these license terms, and understand the
// freedoms and responsabilities that he or she has by using this source/core.
//
// This core is distributed in the hope that it will be useful, but WITHOUT ANY
// WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR // WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR
// A PARTICULAR PURPOSE. // A PARTICULAR PURPOSE.
// //
@ -10,12 +18,14 @@
// of this file, are permitted under one of the following two license terms: // of this file, are permitted under one of the following two license terms:
// //
// 1. The GNU General Public License version 2 as published by the // 1. The GNU General Public License version 2 as published by the
// Free Software Foundation, which can be found in the top level directory of // Free Software Foundation, which can be found in the top level directory
// the repository (LICENSE_GPL2), and at: <https://www.gnu.org/licenses/old-licenses/gpl-2.0.html> // of this repository (LICENSE_GPL2), and also online at:
// <https://www.gnu.org/licenses/old-licenses/gpl-2.0.html>
// //
// OR // OR
// //
// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: // 2. An ADI specific BSD license, which can be found in the top level directory
// of this repository (LICENSE_ADIBSD), and also on-line at:
// https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD // https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD
// This will allow to generate bit files and not release the source code, // This will allow to generate bit files and not release the source code,
// as long as it attaches to an ADI device. // as long as it attaches to an ADI device.

View File

@ -2,7 +2,15 @@
// *************************************************************************** // ***************************************************************************
// Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved. // Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved.
// //
// This core is distributed in the hope that it will be useful, but WITHOUT ANY // In this HDL repository, there are many different and unique modules, consisting
// of various HDL (Verilog or VHDL) components. The individual modules are
// developed independently, and may be accompanied by separate and unique license
// terms.
//
// The user should read each of these license terms, and understand the
// freedoms and responsabilities that he or she has by using this source/core.
//
// This core is distributed in the hope that it will be useful, but WITHOUT ANY
// WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR // WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR
// A PARTICULAR PURPOSE. // A PARTICULAR PURPOSE.
// //
@ -10,12 +18,14 @@
// of this file, are permitted under one of the following two license terms: // of this file, are permitted under one of the following two license terms:
// //
// 1. The GNU General Public License version 2 as published by the // 1. The GNU General Public License version 2 as published by the
// Free Software Foundation, which can be found in the top level directory of // Free Software Foundation, which can be found in the top level directory
// the repository (LICENSE_GPL2), and at: <https://www.gnu.org/licenses/old-licenses/gpl-2.0.html> // of this repository (LICENSE_GPL2), and also online at:
// <https://www.gnu.org/licenses/old-licenses/gpl-2.0.html>
// //
// OR // OR
// //
// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: // 2. An ADI specific BSD license, which can be found in the top level directory
// of this repository (LICENSE_ADIBSD), and also on-line at:
// https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD // https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD
// This will allow to generate bit files and not release the source code, // This will allow to generate bit files and not release the source code,
// as long as it attaches to an ADI device. // as long as it attaches to an ADI device.

View File

@ -2,7 +2,15 @@
// *************************************************************************** // ***************************************************************************
// Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved. // Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved.
// //
// This core is distributed in the hope that it will be useful, but WITHOUT ANY // In this HDL repository, there are many different and unique modules, consisting
// of various HDL (Verilog or VHDL) components. The individual modules are
// developed independently, and may be accompanied by separate and unique license
// terms.
//
// The user should read each of these license terms, and understand the
// freedoms and responsabilities that he or she has by using this source/core.
//
// This core is distributed in the hope that it will be useful, but WITHOUT ANY
// WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR // WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR
// A PARTICULAR PURPOSE. // A PARTICULAR PURPOSE.
// //
@ -10,12 +18,14 @@
// of this file, are permitted under one of the following two license terms: // of this file, are permitted under one of the following two license terms:
// //
// 1. The GNU General Public License version 2 as published by the // 1. The GNU General Public License version 2 as published by the
// Free Software Foundation, which can be found in the top level directory of // Free Software Foundation, which can be found in the top level directory
// the repository (LICENSE_GPL2), and at: <https://www.gnu.org/licenses/old-licenses/gpl-2.0.html> // of this repository (LICENSE_GPL2), and also online at:
// <https://www.gnu.org/licenses/old-licenses/gpl-2.0.html>
// //
// OR // OR
// //
// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: // 2. An ADI specific BSD license, which can be found in the top level directory
// of this repository (LICENSE_ADIBSD), and also on-line at:
// https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD // https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD
// This will allow to generate bit files and not release the source code, // This will allow to generate bit files and not release the source code,
// as long as it attaches to an ADI device. // as long as it attaches to an ADI device.

View File

@ -2,7 +2,15 @@
// *************************************************************************** // ***************************************************************************
// Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved. // Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved.
// //
// This core is distributed in the hope that it will be useful, but WITHOUT ANY // In this HDL repository, there are many different and unique modules, consisting
// of various HDL (Verilog or VHDL) components. The individual modules are
// developed independently, and may be accompanied by separate and unique license
// terms.
//
// The user should read each of these license terms, and understand the
// freedoms and responsabilities that he or she has by using this source/core.
//
// This core is distributed in the hope that it will be useful, but WITHOUT ANY
// WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR // WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR
// A PARTICULAR PURPOSE. // A PARTICULAR PURPOSE.
// //
@ -10,12 +18,14 @@
// of this file, are permitted under one of the following two license terms: // of this file, are permitted under one of the following two license terms:
// //
// 1. The GNU General Public License version 2 as published by the // 1. The GNU General Public License version 2 as published by the
// Free Software Foundation, which can be found in the top level directory of // Free Software Foundation, which can be found in the top level directory
// the repository (LICENSE_GPL2), and at: <https://www.gnu.org/licenses/old-licenses/gpl-2.0.html> // of this repository (LICENSE_GPL2), and also online at:
// <https://www.gnu.org/licenses/old-licenses/gpl-2.0.html>
// //
// OR // OR
// //
// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: // 2. An ADI specific BSD license, which can be found in the top level directory
// of this repository (LICENSE_ADIBSD), and also on-line at:
// https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD // https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD
// This will allow to generate bit files and not release the source code, // This will allow to generate bit files and not release the source code,
// as long as it attaches to an ADI device. // as long as it attaches to an ADI device.

View File

@ -2,7 +2,15 @@
// *************************************************************************** // ***************************************************************************
// Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved. // Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved.
// //
// This core is distributed in the hope that it will be useful, but WITHOUT ANY // In this HDL repository, there are many different and unique modules, consisting
// of various HDL (Verilog or VHDL) components. The individual modules are
// developed independently, and may be accompanied by separate and unique license
// terms.
//
// The user should read each of these license terms, and understand the
// freedoms and responsabilities that he or she has by using this source/core.
//
// This core is distributed in the hope that it will be useful, but WITHOUT ANY
// WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR // WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR
// A PARTICULAR PURPOSE. // A PARTICULAR PURPOSE.
// //
@ -10,12 +18,14 @@
// of this file, are permitted under one of the following two license terms: // of this file, are permitted under one of the following two license terms:
// //
// 1. The GNU General Public License version 2 as published by the // 1. The GNU General Public License version 2 as published by the
// Free Software Foundation, which can be found in the top level directory of // Free Software Foundation, which can be found in the top level directory
// the repository (LICENSE_GPL2), and at: <https://www.gnu.org/licenses/old-licenses/gpl-2.0.html> // of this repository (LICENSE_GPL2), and also online at:
// <https://www.gnu.org/licenses/old-licenses/gpl-2.0.html>
// //
// OR // OR
// //
// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: // 2. An ADI specific BSD license, which can be found in the top level directory
// of this repository (LICENSE_ADIBSD), and also on-line at:
// https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD // https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD
// This will allow to generate bit files and not release the source code, // This will allow to generate bit files and not release the source code,
// as long as it attaches to an ADI device. // as long as it attaches to an ADI device.

View File

@ -2,7 +2,15 @@
// *************************************************************************** // ***************************************************************************
// Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved. // Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved.
// //
// This core is distributed in the hope that it will be useful, but WITHOUT ANY // In this HDL repository, there are many different and unique modules, consisting
// of various HDL (Verilog or VHDL) components. The individual modules are
// developed independently, and may be accompanied by separate and unique license
// terms.
//
// The user should read each of these license terms, and understand the
// freedoms and responsabilities that he or she has by using this source/core.
//
// This core is distributed in the hope that it will be useful, but WITHOUT ANY
// WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR // WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR
// A PARTICULAR PURPOSE. // A PARTICULAR PURPOSE.
// //
@ -10,12 +18,14 @@
// of this file, are permitted under one of the following two license terms: // of this file, are permitted under one of the following two license terms:
// //
// 1. The GNU General Public License version 2 as published by the // 1. The GNU General Public License version 2 as published by the
// Free Software Foundation, which can be found in the top level directory of // Free Software Foundation, which can be found in the top level directory
// the repository (LICENSE_GPL2), and at: <https://www.gnu.org/licenses/old-licenses/gpl-2.0.html> // of this repository (LICENSE_GPL2), and also online at:
// <https://www.gnu.org/licenses/old-licenses/gpl-2.0.html>
// //
// OR // OR
// //
// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: // 2. An ADI specific BSD license, which can be found in the top level directory
// of this repository (LICENSE_ADIBSD), and also on-line at:
// https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD // https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD
// This will allow to generate bit files and not release the source code, // This will allow to generate bit files and not release the source code,
// as long as it attaches to an ADI device. // as long as it attaches to an ADI device.

View File

@ -2,7 +2,15 @@
// *************************************************************************** // ***************************************************************************
// Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved. // Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved.
// //
// This core is distributed in the hope that it will be useful, but WITHOUT ANY // In this HDL repository, there are many different and unique modules, consisting
// of various HDL (Verilog or VHDL) components. The individual modules are
// developed independently, and may be accompanied by separate and unique license
// terms.
//
// The user should read each of these license terms, and understand the
// freedoms and responsabilities that he or she has by using this source/core.
//
// This core is distributed in the hope that it will be useful, but WITHOUT ANY
// WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR // WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR
// A PARTICULAR PURPOSE. // A PARTICULAR PURPOSE.
// //
@ -10,12 +18,14 @@
// of this file, are permitted under one of the following two license terms: // of this file, are permitted under one of the following two license terms:
// //
// 1. The GNU General Public License version 2 as published by the // 1. The GNU General Public License version 2 as published by the
// Free Software Foundation, which can be found in the top level directory of // Free Software Foundation, which can be found in the top level directory
// the repository (LICENSE_GPL2), and at: <https://www.gnu.org/licenses/old-licenses/gpl-2.0.html> // of this repository (LICENSE_GPL2), and also online at:
// <https://www.gnu.org/licenses/old-licenses/gpl-2.0.html>
// //
// OR // OR
// //
// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: // 2. An ADI specific BSD license, which can be found in the top level directory
// of this repository (LICENSE_ADIBSD), and also on-line at:
// https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD // https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD
// This will allow to generate bit files and not release the source code, // This will allow to generate bit files and not release the source code,
// as long as it attaches to an ADI device. // as long as it attaches to an ADI device.

View File

@ -2,7 +2,15 @@
// *************************************************************************** // ***************************************************************************
// Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved. // Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved.
// //
// This core is distributed in the hope that it will be useful, but WITHOUT ANY // In this HDL repository, there are many different and unique modules, consisting
// of various HDL (Verilog or VHDL) components. The individual modules are
// developed independently, and may be accompanied by separate and unique license
// terms.
//
// The user should read each of these license terms, and understand the
// freedoms and responsabilities that he or she has by using this source/core.
//
// This core is distributed in the hope that it will be useful, but WITHOUT ANY
// WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR // WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR
// A PARTICULAR PURPOSE. // A PARTICULAR PURPOSE.
// //
@ -10,12 +18,14 @@
// of this file, are permitted under one of the following two license terms: // of this file, are permitted under one of the following two license terms:
// //
// 1. The GNU General Public License version 2 as published by the // 1. The GNU General Public License version 2 as published by the
// Free Software Foundation, which can be found in the top level directory of // Free Software Foundation, which can be found in the top level directory
// the repository (LICENSE_GPL2), and at: <https://www.gnu.org/licenses/old-licenses/gpl-2.0.html> // of this repository (LICENSE_GPL2), and also online at:
// <https://www.gnu.org/licenses/old-licenses/gpl-2.0.html>
// //
// OR // OR
// //
// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: // 2. An ADI specific BSD license, which can be found in the top level directory
// of this repository (LICENSE_ADIBSD), and also on-line at:
// https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD // https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD
// This will allow to generate bit files and not release the source code, // This will allow to generate bit files and not release the source code,
// as long as it attaches to an ADI device. // as long as it attaches to an ADI device.

View File

@ -2,7 +2,15 @@
// *************************************************************************** // ***************************************************************************
// Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved. // Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved.
// //
// This core is distributed in the hope that it will be useful, but WITHOUT ANY // In this HDL repository, there are many different and unique modules, consisting
// of various HDL (Verilog or VHDL) components. The individual modules are
// developed independently, and may be accompanied by separate and unique license
// terms.
//
// The user should read each of these license terms, and understand the
// freedoms and responsabilities that he or she has by using this source/core.
//
// This core is distributed in the hope that it will be useful, but WITHOUT ANY
// WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR // WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR
// A PARTICULAR PURPOSE. // A PARTICULAR PURPOSE.
// //
@ -10,12 +18,14 @@
// of this file, are permitted under one of the following two license terms: // of this file, are permitted under one of the following two license terms:
// //
// 1. The GNU General Public License version 2 as published by the // 1. The GNU General Public License version 2 as published by the
// Free Software Foundation, which can be found in the top level directory of // Free Software Foundation, which can be found in the top level directory
// the repository (LICENSE_GPL2), and at: <https://www.gnu.org/licenses/old-licenses/gpl-2.0.html> // of this repository (LICENSE_GPL2), and also online at:
// <https://www.gnu.org/licenses/old-licenses/gpl-2.0.html>
// //
// OR // OR
// //
// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: // 2. An ADI specific BSD license, which can be found in the top level directory
// of this repository (LICENSE_ADIBSD), and also on-line at:
// https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD // https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD
// This will allow to generate bit files and not release the source code, // This will allow to generate bit files and not release the source code,
// as long as it attaches to an ADI device. // as long as it attaches to an ADI device.

View File

@ -2,7 +2,15 @@
// *************************************************************************** // ***************************************************************************
// Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved. // Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved.
// //
// This core is distributed in the hope that it will be useful, but WITHOUT ANY // In this HDL repository, there are many different and unique modules, consisting
// of various HDL (Verilog or VHDL) components. The individual modules are
// developed independently, and may be accompanied by separate and unique license
// terms.
//
// The user should read each of these license terms, and understand the
// freedoms and responsabilities that he or she has by using this source/core.
//
// This core is distributed in the hope that it will be useful, but WITHOUT ANY
// WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR // WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR
// A PARTICULAR PURPOSE. // A PARTICULAR PURPOSE.
// //
@ -10,12 +18,14 @@
// of this file, are permitted under one of the following two license terms: // of this file, are permitted under one of the following two license terms:
// //
// 1. The GNU General Public License version 2 as published by the // 1. The GNU General Public License version 2 as published by the
// Free Software Foundation, which can be found in the top level directory of // Free Software Foundation, which can be found in the top level directory
// the repository (LICENSE_GPL2), and at: <https://www.gnu.org/licenses/old-licenses/gpl-2.0.html> // of this repository (LICENSE_GPL2), and also online at:
// <https://www.gnu.org/licenses/old-licenses/gpl-2.0.html>
// //
// OR // OR
// //
// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: // 2. An ADI specific BSD license, which can be found in the top level directory
// of this repository (LICENSE_ADIBSD), and also on-line at:
// https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD // https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD
// This will allow to generate bit files and not release the source code, // This will allow to generate bit files and not release the source code,
// as long as it attaches to an ADI device. // as long as it attaches to an ADI device.

View File

@ -2,7 +2,15 @@
// *************************************************************************** // ***************************************************************************
// Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved. // Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved.
// //
// This core is distributed in the hope that it will be useful, but WITHOUT ANY // In this HDL repository, there are many different and unique modules, consisting
// of various HDL (Verilog or VHDL) components. The individual modules are
// developed independently, and may be accompanied by separate and unique license
// terms.
//
// The user should read each of these license terms, and understand the
// freedoms and responsabilities that he or she has by using this source/core.
//
// This core is distributed in the hope that it will be useful, but WITHOUT ANY
// WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR // WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR
// A PARTICULAR PURPOSE. // A PARTICULAR PURPOSE.
// //
@ -10,12 +18,14 @@
// of this file, are permitted under one of the following two license terms: // of this file, are permitted under one of the following two license terms:
// //
// 1. The GNU General Public License version 2 as published by the // 1. The GNU General Public License version 2 as published by the
// Free Software Foundation, which can be found in the top level directory of // Free Software Foundation, which can be found in the top level directory
// the repository (LICENSE_GPL2), and at: <https://www.gnu.org/licenses/old-licenses/gpl-2.0.html> // of this repository (LICENSE_GPL2), and also online at:
// <https://www.gnu.org/licenses/old-licenses/gpl-2.0.html>
// //
// OR // OR
// //
// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: // 2. An ADI specific BSD license, which can be found in the top level directory
// of this repository (LICENSE_ADIBSD), and also on-line at:
// https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD // https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD
// This will allow to generate bit files and not release the source code, // This will allow to generate bit files and not release the source code,
// as long as it attaches to an ADI device. // as long as it attaches to an ADI device.

View File

@ -2,7 +2,15 @@
// *************************************************************************** // ***************************************************************************
// Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved. // Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved.
// //
// This core is distributed in the hope that it will be useful, but WITHOUT ANY // In this HDL repository, there are many different and unique modules, consisting
// of various HDL (Verilog or VHDL) components. The individual modules are
// developed independently, and may be accompanied by separate and unique license
// terms.
//
// The user should read each of these license terms, and understand the
// freedoms and responsabilities that he or she has by using this source/core.
//
// This core is distributed in the hope that it will be useful, but WITHOUT ANY
// WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR // WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR
// A PARTICULAR PURPOSE. // A PARTICULAR PURPOSE.
// //
@ -10,12 +18,14 @@
// of this file, are permitted under one of the following two license terms: // of this file, are permitted under one of the following two license terms:
// //
// 1. The GNU General Public License version 2 as published by the // 1. The GNU General Public License version 2 as published by the
// Free Software Foundation, which can be found in the top level directory of // Free Software Foundation, which can be found in the top level directory
// the repository (LICENSE_GPL2), and at: <https://www.gnu.org/licenses/old-licenses/gpl-2.0.html> // of this repository (LICENSE_GPL2), and also online at:
// <https://www.gnu.org/licenses/old-licenses/gpl-2.0.html>
// //
// OR // OR
// //
// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: // 2. An ADI specific BSD license, which can be found in the top level directory
// of this repository (LICENSE_ADIBSD), and also on-line at:
// https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD // https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD
// This will allow to generate bit files and not release the source code, // This will allow to generate bit files and not release the source code,
// as long as it attaches to an ADI device. // as long as it attaches to an ADI device.

View File

@ -2,7 +2,15 @@
// *************************************************************************** // ***************************************************************************
// Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved. // Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved.
// //
// This core is distributed in the hope that it will be useful, but WITHOUT ANY // In this HDL repository, there are many different and unique modules, consisting
// of various HDL (Verilog or VHDL) components. The individual modules are
// developed independently, and may be accompanied by separate and unique license
// terms.
//
// The user should read each of these license terms, and understand the
// freedoms and responsabilities that he or she has by using this source/core.
//
// This core is distributed in the hope that it will be useful, but WITHOUT ANY
// WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR // WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR
// A PARTICULAR PURPOSE. // A PARTICULAR PURPOSE.
// //
@ -10,12 +18,14 @@
// of this file, are permitted under one of the following two license terms: // of this file, are permitted under one of the following two license terms:
// //
// 1. The GNU General Public License version 2 as published by the // 1. The GNU General Public License version 2 as published by the
// Free Software Foundation, which can be found in the top level directory of // Free Software Foundation, which can be found in the top level directory
// the repository (LICENSE_GPL2), and at: <https://www.gnu.org/licenses/old-licenses/gpl-2.0.html> // of this repository (LICENSE_GPL2), and also online at:
// <https://www.gnu.org/licenses/old-licenses/gpl-2.0.html>
// //
// OR // OR
// //
// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: // 2. An ADI specific BSD license, which can be found in the top level directory
// of this repository (LICENSE_ADIBSD), and also on-line at:
// https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD // https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD
// This will allow to generate bit files and not release the source code, // This will allow to generate bit files and not release the source code,
// as long as it attaches to an ADI device. // as long as it attaches to an ADI device.

View File

@ -2,7 +2,15 @@
// *************************************************************************** // ***************************************************************************
// Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved. // Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved.
// //
// This core is distributed in the hope that it will be useful, but WITHOUT ANY // In this HDL repository, there are many different and unique modules, consisting
// of various HDL (Verilog or VHDL) components. The individual modules are
// developed independently, and may be accompanied by separate and unique license
// terms.
//
// The user should read each of these license terms, and understand the
// freedoms and responsabilities that he or she has by using this source/core.
//
// This core is distributed in the hope that it will be useful, but WITHOUT ANY
// WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR // WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR
// A PARTICULAR PURPOSE. // A PARTICULAR PURPOSE.
// //
@ -10,12 +18,14 @@
// of this file, are permitted under one of the following two license terms: // of this file, are permitted under one of the following two license terms:
// //
// 1. The GNU General Public License version 2 as published by the // 1. The GNU General Public License version 2 as published by the
// Free Software Foundation, which can be found in the top level directory of // Free Software Foundation, which can be found in the top level directory
// the repository (LICENSE_GPL2), and at: <https://www.gnu.org/licenses/old-licenses/gpl-2.0.html> // of this repository (LICENSE_GPL2), and also online at:
// <https://www.gnu.org/licenses/old-licenses/gpl-2.0.html>
// //
// OR // OR
// //
// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: // 2. An ADI specific BSD license, which can be found in the top level directory
// of this repository (LICENSE_ADIBSD), and also on-line at:
// https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD // https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD
// This will allow to generate bit files and not release the source code, // This will allow to generate bit files and not release the source code,
// as long as it attaches to an ADI device. // as long as it attaches to an ADI device.

View File

@ -2,7 +2,15 @@
// *************************************************************************** // ***************************************************************************
// Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved. // Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved.
// //
// This core is distributed in the hope that it will be useful, but WITHOUT ANY // In this HDL repository, there are many different and unique modules, consisting
// of various HDL (Verilog or VHDL) components. The individual modules are
// developed independently, and may be accompanied by separate and unique license
// terms.
//
// The user should read each of these license terms, and understand the
// freedoms and responsabilities that he or she has by using this source/core.
//
// This core is distributed in the hope that it will be useful, but WITHOUT ANY
// WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR // WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR
// A PARTICULAR PURPOSE. // A PARTICULAR PURPOSE.
// //
@ -10,12 +18,14 @@
// of this file, are permitted under one of the following two license terms: // of this file, are permitted under one of the following two license terms:
// //
// 1. The GNU General Public License version 2 as published by the // 1. The GNU General Public License version 2 as published by the
// Free Software Foundation, which can be found in the top level directory of // Free Software Foundation, which can be found in the top level directory
// the repository (LICENSE_GPL2), and at: <https://www.gnu.org/licenses/old-licenses/gpl-2.0.html> // of this repository (LICENSE_GPL2), and also online at:
// <https://www.gnu.org/licenses/old-licenses/gpl-2.0.html>
// //
// OR // OR
// //
// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: // 2. An ADI specific BSD license, which can be found in the top level directory
// of this repository (LICENSE_ADIBSD), and also on-line at:
// https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD // https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD
// This will allow to generate bit files and not release the source code, // This will allow to generate bit files and not release the source code,
// as long as it attaches to an ADI device. // as long as it attaches to an ADI device.

View File

@ -2,7 +2,15 @@
// *************************************************************************** // ***************************************************************************
// Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved. // Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved.
// //
// This core is distributed in the hope that it will be useful, but WITHOUT ANY // In this HDL repository, there are many different and unique modules, consisting
// of various HDL (Verilog or VHDL) components. The individual modules are
// developed independently, and may be accompanied by separate and unique license
// terms.
//
// The user should read each of these license terms, and understand the
// freedoms and responsabilities that he or she has by using this source/core.
//
// This core is distributed in the hope that it will be useful, but WITHOUT ANY
// WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR // WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR
// A PARTICULAR PURPOSE. // A PARTICULAR PURPOSE.
// //
@ -10,12 +18,14 @@
// of this file, are permitted under one of the following two license terms: // of this file, are permitted under one of the following two license terms:
// //
// 1. The GNU General Public License version 2 as published by the // 1. The GNU General Public License version 2 as published by the
// Free Software Foundation, which can be found in the top level directory of // Free Software Foundation, which can be found in the top level directory
// the repository (LICENSE_GPL2), and at: <https://www.gnu.org/licenses/old-licenses/gpl-2.0.html> // of this repository (LICENSE_GPL2), and also online at:
// <https://www.gnu.org/licenses/old-licenses/gpl-2.0.html>
// //
// OR // OR
// //
// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: // 2. An ADI specific BSD license, which can be found in the top level directory
// of this repository (LICENSE_ADIBSD), and also on-line at:
// https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD // https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD
// This will allow to generate bit files and not release the source code, // This will allow to generate bit files and not release the source code,
// as long as it attaches to an ADI device. // as long as it attaches to an ADI device.

View File

@ -2,7 +2,15 @@
// *************************************************************************** // ***************************************************************************
// Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved. // Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved.
// //
// This core is distributed in the hope that it will be useful, but WITHOUT ANY // In this HDL repository, there are many different and unique modules, consisting
// of various HDL (Verilog or VHDL) components. The individual modules are
// developed independently, and may be accompanied by separate and unique license
// terms.
//
// The user should read each of these license terms, and understand the
// freedoms and responsabilities that he or she has by using this source/core.
//
// This core is distributed in the hope that it will be useful, but WITHOUT ANY
// WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR // WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR
// A PARTICULAR PURPOSE. // A PARTICULAR PURPOSE.
// //
@ -10,12 +18,14 @@
// of this file, are permitted under one of the following two license terms: // of this file, are permitted under one of the following two license terms:
// //
// 1. The GNU General Public License version 2 as published by the // 1. The GNU General Public License version 2 as published by the
// Free Software Foundation, which can be found in the top level directory of // Free Software Foundation, which can be found in the top level directory
// the repository (LICENSE_GPL2), and at: <https://www.gnu.org/licenses/old-licenses/gpl-2.0.html> // of this repository (LICENSE_GPL2), and also online at:
// <https://www.gnu.org/licenses/old-licenses/gpl-2.0.html>
// //
// OR // OR
// //
// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: // 2. An ADI specific BSD license, which can be found in the top level directory
// of this repository (LICENSE_ADIBSD), and also on-line at:
// https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD // https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD
// This will allow to generate bit files and not release the source code, // This will allow to generate bit files and not release the source code,
// as long as it attaches to an ADI device. // as long as it attaches to an ADI device.

View File

@ -2,7 +2,15 @@
// *************************************************************************** // ***************************************************************************
// Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved. // Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved.
// //
// This core is distributed in the hope that it will be useful, but WITHOUT ANY // In this HDL repository, there are many different and unique modules, consisting
// of various HDL (Verilog or VHDL) components. The individual modules are
// developed independently, and may be accompanied by separate and unique license
// terms.
//
// The user should read each of these license terms, and understand the
// freedoms and responsabilities that he or she has by using this source/core.
//
// This core is distributed in the hope that it will be useful, but WITHOUT ANY
// WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR // WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR
// A PARTICULAR PURPOSE. // A PARTICULAR PURPOSE.
// //
@ -10,12 +18,14 @@
// of this file, are permitted under one of the following two license terms: // of this file, are permitted under one of the following two license terms:
// //
// 1. The GNU General Public License version 2 as published by the // 1. The GNU General Public License version 2 as published by the
// Free Software Foundation, which can be found in the top level directory of // Free Software Foundation, which can be found in the top level directory
// the repository (LICENSE_GPL2), and at: <https://www.gnu.org/licenses/old-licenses/gpl-2.0.html> // of this repository (LICENSE_GPL2), and also online at:
// <https://www.gnu.org/licenses/old-licenses/gpl-2.0.html>
// //
// OR // OR
// //
// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: // 2. An ADI specific BSD license, which can be found in the top level directory
// of this repository (LICENSE_ADIBSD), and also on-line at:
// https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD // https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD
// This will allow to generate bit files and not release the source code, // This will allow to generate bit files and not release the source code,
// as long as it attaches to an ADI device. // as long as it attaches to an ADI device.

View File

@ -2,7 +2,15 @@
// *************************************************************************** // ***************************************************************************
// Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved. // Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved.
// //
// This core is distributed in the hope that it will be useful, but WITHOUT ANY // In this HDL repository, there are many different and unique modules, consisting
// of various HDL (Verilog or VHDL) components. The individual modules are
// developed independently, and may be accompanied by separate and unique license
// terms.
//
// The user should read each of these license terms, and understand the
// freedoms and responsabilities that he or she has by using this source/core.
//
// This core is distributed in the hope that it will be useful, but WITHOUT ANY
// WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR // WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR
// A PARTICULAR PURPOSE. // A PARTICULAR PURPOSE.
// //
@ -10,12 +18,14 @@
// of this file, are permitted under one of the following two license terms: // of this file, are permitted under one of the following two license terms:
// //
// 1. The GNU General Public License version 2 as published by the // 1. The GNU General Public License version 2 as published by the
// Free Software Foundation, which can be found in the top level directory of // Free Software Foundation, which can be found in the top level directory
// the repository (LICENSE_GPL2), and at: <https://www.gnu.org/licenses/old-licenses/gpl-2.0.html> // of this repository (LICENSE_GPL2), and also online at:
// <https://www.gnu.org/licenses/old-licenses/gpl-2.0.html>
// //
// OR // OR
// //
// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: // 2. An ADI specific BSD license, which can be found in the top level directory
// of this repository (LICENSE_ADIBSD), and also on-line at:
// https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD // https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD
// This will allow to generate bit files and not release the source code, // This will allow to generate bit files and not release the source code,
// as long as it attaches to an ADI device. // as long as it attaches to an ADI device.

View File

@ -2,7 +2,15 @@
// *************************************************************************** // ***************************************************************************
// Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved. // Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved.
// //
// This core is distributed in the hope that it will be useful, but WITHOUT ANY // In this HDL repository, there are many different and unique modules, consisting
// of various HDL (Verilog or VHDL) components. The individual modules are
// developed independently, and may be accompanied by separate and unique license
// terms.
//
// The user should read each of these license terms, and understand the
// freedoms and responsabilities that he or she has by using this source/core.
//
// This core is distributed in the hope that it will be useful, but WITHOUT ANY
// WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR // WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR
// A PARTICULAR PURPOSE. // A PARTICULAR PURPOSE.
// //
@ -10,12 +18,14 @@
// of this file, are permitted under one of the following two license terms: // of this file, are permitted under one of the following two license terms:
// //
// 1. The GNU General Public License version 2 as published by the // 1. The GNU General Public License version 2 as published by the
// Free Software Foundation, which can be found in the top level directory of // Free Software Foundation, which can be found in the top level directory
// the repository (LICENSE_GPL2), and at: <https://www.gnu.org/licenses/old-licenses/gpl-2.0.html> // of this repository (LICENSE_GPL2), and also online at:
// <https://www.gnu.org/licenses/old-licenses/gpl-2.0.html>
// //
// OR // OR
// //
// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: // 2. An ADI specific BSD license, which can be found in the top level directory
// of this repository (LICENSE_ADIBSD), and also on-line at:
// https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD // https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD
// This will allow to generate bit files and not release the source code, // This will allow to generate bit files and not release the source code,
// as long as it attaches to an ADI device. // as long as it attaches to an ADI device.

View File

@ -2,7 +2,15 @@
// *************************************************************************** // ***************************************************************************
// Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved. // Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved.
// //
// This core is distributed in the hope that it will be useful, but WITHOUT ANY // In this HDL repository, there are many different and unique modules, consisting
// of various HDL (Verilog or VHDL) components. The individual modules are
// developed independently, and may be accompanied by separate and unique license
// terms.
//
// The user should read each of these license terms, and understand the
// freedoms and responsabilities that he or she has by using this source/core.
//
// This core is distributed in the hope that it will be useful, but WITHOUT ANY
// WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR // WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR
// A PARTICULAR PURPOSE. // A PARTICULAR PURPOSE.
// //
@ -10,12 +18,14 @@
// of this file, are permitted under one of the following two license terms: // of this file, are permitted under one of the following two license terms:
// //
// 1. The GNU General Public License version 2 as published by the // 1. The GNU General Public License version 2 as published by the
// Free Software Foundation, which can be found in the top level directory of // Free Software Foundation, which can be found in the top level directory
// the repository (LICENSE_GPL2), and at: <https://www.gnu.org/licenses/old-licenses/gpl-2.0.html> // of this repository (LICENSE_GPL2), and also online at:
// <https://www.gnu.org/licenses/old-licenses/gpl-2.0.html>
// //
// OR // OR
// //
// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: // 2. An ADI specific BSD license, which can be found in the top level directory
// of this repository (LICENSE_ADIBSD), and also on-line at:
// https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD // https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD
// This will allow to generate bit files and not release the source code, // This will allow to generate bit files and not release the source code,
// as long as it attaches to an ADI device. // as long as it attaches to an ADI device.

View File

@ -2,7 +2,15 @@
// *************************************************************************** // ***************************************************************************
// Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved. // Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved.
// //
// This core is distributed in the hope that it will be useful, but WITHOUT ANY // In this HDL repository, there are many different and unique modules, consisting
// of various HDL (Verilog or VHDL) components. The individual modules are
// developed independently, and may be accompanied by separate and unique license
// terms.
//
// The user should read each of these license terms, and understand the
// freedoms and responsabilities that he or she has by using this source/core.
//
// This core is distributed in the hope that it will be useful, but WITHOUT ANY
// WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR // WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR
// A PARTICULAR PURPOSE. // A PARTICULAR PURPOSE.
// //
@ -10,12 +18,14 @@
// of this file, are permitted under one of the following two license terms: // of this file, are permitted under one of the following two license terms:
// //
// 1. The GNU General Public License version 2 as published by the // 1. The GNU General Public License version 2 as published by the
// Free Software Foundation, which can be found in the top level directory of // Free Software Foundation, which can be found in the top level directory
// the repository (LICENSE_GPL2), and at: <https://www.gnu.org/licenses/old-licenses/gpl-2.0.html> // of this repository (LICENSE_GPL2), and also online at:
// <https://www.gnu.org/licenses/old-licenses/gpl-2.0.html>
// //
// OR // OR
// //
// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: // 2. An ADI specific BSD license, which can be found in the top level directory
// of this repository (LICENSE_ADIBSD), and also on-line at:
// https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD // https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD
// This will allow to generate bit files and not release the source code, // This will allow to generate bit files and not release the source code,
// as long as it attaches to an ADI device. // as long as it attaches to an ADI device.

View File

@ -2,7 +2,15 @@
// *************************************************************************** // ***************************************************************************
// Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved. // Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved.
// //
// This core is distributed in the hope that it will be useful, but WITHOUT ANY // In this HDL repository, there are many different and unique modules, consisting
// of various HDL (Verilog or VHDL) components. The individual modules are
// developed independently, and may be accompanied by separate and unique license
// terms.
//
// The user should read each of these license terms, and understand the
// freedoms and responsabilities that he or she has by using this source/core.
//
// This core is distributed in the hope that it will be useful, but WITHOUT ANY
// WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR // WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR
// A PARTICULAR PURPOSE. // A PARTICULAR PURPOSE.
// //
@ -10,12 +18,14 @@
// of this file, are permitted under one of the following two license terms: // of this file, are permitted under one of the following two license terms:
// //
// 1. The GNU General Public License version 2 as published by the // 1. The GNU General Public License version 2 as published by the
// Free Software Foundation, which can be found in the top level directory of // Free Software Foundation, which can be found in the top level directory
// the repository (LICENSE_GPL2), and at: <https://www.gnu.org/licenses/old-licenses/gpl-2.0.html> // of this repository (LICENSE_GPL2), and also online at:
// <https://www.gnu.org/licenses/old-licenses/gpl-2.0.html>
// //
// OR // OR
// //
// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: // 2. An ADI specific BSD license, which can be found in the top level directory
// of this repository (LICENSE_ADIBSD), and also on-line at:
// https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD // https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD
// This will allow to generate bit files and not release the source code, // This will allow to generate bit files and not release the source code,
// as long as it attaches to an ADI device. // as long as it attaches to an ADI device.

View File

@ -2,7 +2,15 @@
// *************************************************************************** // ***************************************************************************
// Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved. // Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved.
// //
// This core is distributed in the hope that it will be useful, but WITHOUT ANY // In this HDL repository, there are many different and unique modules, consisting
// of various HDL (Verilog or VHDL) components. The individual modules are
// developed independently, and may be accompanied by separate and unique license
// terms.
//
// The user should read each of these license terms, and understand the
// freedoms and responsabilities that he or she has by using this source/core.
//
// This core is distributed in the hope that it will be useful, but WITHOUT ANY
// WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR // WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR
// A PARTICULAR PURPOSE. // A PARTICULAR PURPOSE.
// //
@ -10,12 +18,14 @@
// of this file, are permitted under one of the following two license terms: // of this file, are permitted under one of the following two license terms:
// //
// 1. The GNU General Public License version 2 as published by the // 1. The GNU General Public License version 2 as published by the
// Free Software Foundation, which can be found in the top level directory of // Free Software Foundation, which can be found in the top level directory
// the repository (LICENSE_GPL2), and at: <https://www.gnu.org/licenses/old-licenses/gpl-2.0.html> // of this repository (LICENSE_GPL2), and also online at:
// <https://www.gnu.org/licenses/old-licenses/gpl-2.0.html>
// //
// OR // OR
// //
// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: // 2. An ADI specific BSD license, which can be found in the top level directory
// of this repository (LICENSE_ADIBSD), and also on-line at:
// https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD // https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD
// This will allow to generate bit files and not release the source code, // This will allow to generate bit files and not release the source code,
// as long as it attaches to an ADI device. // as long as it attaches to an ADI device.

View File

@ -2,7 +2,15 @@
// *************************************************************************** // ***************************************************************************
// Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved. // Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved.
// //
// This core is distributed in the hope that it will be useful, but WITHOUT ANY // In this HDL repository, there are many different and unique modules, consisting
// of various HDL (Verilog or VHDL) components. The individual modules are
// developed independently, and may be accompanied by separate and unique license
// terms.
//
// The user should read each of these license terms, and understand the
// freedoms and responsabilities that he or she has by using this source/core.
//
// This core is distributed in the hope that it will be useful, but WITHOUT ANY
// WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR // WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR
// A PARTICULAR PURPOSE. // A PARTICULAR PURPOSE.
// //
@ -10,12 +18,14 @@
// of this file, are permitted under one of the following two license terms: // of this file, are permitted under one of the following two license terms:
// //
// 1. The GNU General Public License version 2 as published by the // 1. The GNU General Public License version 2 as published by the
// Free Software Foundation, which can be found in the top level directory of // Free Software Foundation, which can be found in the top level directory
// the repository (LICENSE_GPL2), and at: <https://www.gnu.org/licenses/old-licenses/gpl-2.0.html> // of this repository (LICENSE_GPL2), and also online at:
// <https://www.gnu.org/licenses/old-licenses/gpl-2.0.html>
// //
// OR // OR
// //
// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: // 2. An ADI specific BSD license, which can be found in the top level directory
// of this repository (LICENSE_ADIBSD), and also on-line at:
// https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD // https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD
// This will allow to generate bit files and not release the source code, // This will allow to generate bit files and not release the source code,
// as long as it attaches to an ADI device. // as long as it attaches to an ADI device.

View File

@ -2,7 +2,15 @@
// *************************************************************************** // ***************************************************************************
// Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved. // Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved.
// //
// This core is distributed in the hope that it will be useful, but WITHOUT ANY // In this HDL repository, there are many different and unique modules, consisting
// of various HDL (Verilog or VHDL) components. The individual modules are
// developed independently, and may be accompanied by separate and unique license
// terms.
//
// The user should read each of these license terms, and understand the
// freedoms and responsabilities that he or she has by using this source/core.
//
// This core is distributed in the hope that it will be useful, but WITHOUT ANY
// WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR // WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR
// A PARTICULAR PURPOSE. // A PARTICULAR PURPOSE.
// //
@ -10,12 +18,14 @@
// of this file, are permitted under one of the following two license terms: // of this file, are permitted under one of the following two license terms:
// //
// 1. The GNU General Public License version 2 as published by the // 1. The GNU General Public License version 2 as published by the
// Free Software Foundation, which can be found in the top level directory of // Free Software Foundation, which can be found in the top level directory
// the repository (LICENSE_GPL2), and at: <https://www.gnu.org/licenses/old-licenses/gpl-2.0.html> // of this repository (LICENSE_GPL2), and also online at:
// <https://www.gnu.org/licenses/old-licenses/gpl-2.0.html>
// //
// OR // OR
// //
// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: // 2. An ADI specific BSD license, which can be found in the top level directory
// of this repository (LICENSE_ADIBSD), and also on-line at:
// https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD // https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD
// This will allow to generate bit files and not release the source code, // This will allow to generate bit files and not release the source code,
// as long as it attaches to an ADI device. // as long as it attaches to an ADI device.

View File

@ -2,7 +2,15 @@
// *************************************************************************** // ***************************************************************************
// Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved. // Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved.
// //
// This core is distributed in the hope that it will be useful, but WITHOUT ANY // In this HDL repository, there are many different and unique modules, consisting
// of various HDL (Verilog or VHDL) components. The individual modules are
// developed independently, and may be accompanied by separate and unique license
// terms.
//
// The user should read each of these license terms, and understand the
// freedoms and responsabilities that he or she has by using this source/core.
//
// This core is distributed in the hope that it will be useful, but WITHOUT ANY
// WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR // WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR
// A PARTICULAR PURPOSE. // A PARTICULAR PURPOSE.
// //
@ -10,12 +18,14 @@
// of this file, are permitted under one of the following two license terms: // of this file, are permitted under one of the following two license terms:
// //
// 1. The GNU General Public License version 2 as published by the // 1. The GNU General Public License version 2 as published by the
// Free Software Foundation, which can be found in the top level directory of // Free Software Foundation, which can be found in the top level directory
// the repository (LICENSE_GPL2), and at: <https://www.gnu.org/licenses/old-licenses/gpl-2.0.html> // of this repository (LICENSE_GPL2), and also online at:
// <https://www.gnu.org/licenses/old-licenses/gpl-2.0.html>
// //
// OR // OR
// //
// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: // 2. An ADI specific BSD license, which can be found in the top level directory
// of this repository (LICENSE_ADIBSD), and also on-line at:
// https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD // https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD
// This will allow to generate bit files and not release the source code, // This will allow to generate bit files and not release the source code,
// as long as it attaches to an ADI device. // as long as it attaches to an ADI device.

View File

@ -2,7 +2,15 @@
// *************************************************************************** // ***************************************************************************
// Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved. // Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved.
// //
// This core is distributed in the hope that it will be useful, but WITHOUT ANY // In this HDL repository, there are many different and unique modules, consisting
// of various HDL (Verilog or VHDL) components. The individual modules are
// developed independently, and may be accompanied by separate and unique license
// terms.
//
// The user should read each of these license terms, and understand the
// freedoms and responsabilities that he or she has by using this source/core.
//
// This core is distributed in the hope that it will be useful, but WITHOUT ANY
// WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR // WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR
// A PARTICULAR PURPOSE. // A PARTICULAR PURPOSE.
// //
@ -10,12 +18,14 @@
// of this file, are permitted under one of the following two license terms: // of this file, are permitted under one of the following two license terms:
// //
// 1. The GNU General Public License version 2 as published by the // 1. The GNU General Public License version 2 as published by the
// Free Software Foundation, which can be found in the top level directory of // Free Software Foundation, which can be found in the top level directory
// the repository (LICENSE_GPL2), and at: <https://www.gnu.org/licenses/old-licenses/gpl-2.0.html> // of this repository (LICENSE_GPL2), and also online at:
// <https://www.gnu.org/licenses/old-licenses/gpl-2.0.html>
// //
// OR // OR
// //
// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: // 2. An ADI specific BSD license, which can be found in the top level directory
// of this repository (LICENSE_ADIBSD), and also on-line at:
// https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD // https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD
// This will allow to generate bit files and not release the source code, // This will allow to generate bit files and not release the source code,
// as long as it attaches to an ADI device. // as long as it attaches to an ADI device.

View File

@ -2,7 +2,15 @@
// *************************************************************************** // ***************************************************************************
// Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved. // Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved.
// //
// This core is distributed in the hope that it will be useful, but WITHOUT ANY // In this HDL repository, there are many different and unique modules, consisting
// of various HDL (Verilog or VHDL) components. The individual modules are
// developed independently, and may be accompanied by separate and unique license
// terms.
//
// The user should read each of these license terms, and understand the
// freedoms and responsabilities that he or she has by using this source/core.
//
// This core is distributed in the hope that it will be useful, but WITHOUT ANY
// WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR // WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR
// A PARTICULAR PURPOSE. // A PARTICULAR PURPOSE.
// //
@ -10,12 +18,14 @@
// of this file, are permitted under one of the following two license terms: // of this file, are permitted under one of the following two license terms:
// //
// 1. The GNU General Public License version 2 as published by the // 1. The GNU General Public License version 2 as published by the
// Free Software Foundation, which can be found in the top level directory of // Free Software Foundation, which can be found in the top level directory
// the repository (LICENSE_GPL2), and at: <https://www.gnu.org/licenses/old-licenses/gpl-2.0.html> // of this repository (LICENSE_GPL2), and also online at:
// <https://www.gnu.org/licenses/old-licenses/gpl-2.0.html>
// //
// OR // OR
// //
// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: // 2. An ADI specific BSD license, which can be found in the top level directory
// of this repository (LICENSE_ADIBSD), and also on-line at:
// https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD // https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD
// This will allow to generate bit files and not release the source code, // This will allow to generate bit files and not release the source code,
// as long as it attaches to an ADI device. // as long as it attaches to an ADI device.

View File

@ -2,7 +2,15 @@
// *************************************************************************** // ***************************************************************************
// Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved. // Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved.
// //
// This core is distributed in the hope that it will be useful, but WITHOUT ANY // In this HDL repository, there are many different and unique modules, consisting
// of various HDL (Verilog or VHDL) components. The individual modules are
// developed independently, and may be accompanied by separate and unique license
// terms.
//
// The user should read each of these license terms, and understand the
// freedoms and responsabilities that he or she has by using this source/core.
//
// This core is distributed in the hope that it will be useful, but WITHOUT ANY
// WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR // WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR
// A PARTICULAR PURPOSE. // A PARTICULAR PURPOSE.
// //
@ -10,12 +18,14 @@
// of this file, are permitted under one of the following two license terms: // of this file, are permitted under one of the following two license terms:
// //
// 1. The GNU General Public License version 2 as published by the // 1. The GNU General Public License version 2 as published by the
// Free Software Foundation, which can be found in the top level directory of // Free Software Foundation, which can be found in the top level directory
// the repository (LICENSE_GPL2), and at: <https://www.gnu.org/licenses/old-licenses/gpl-2.0.html> // of this repository (LICENSE_GPL2), and also online at:
// <https://www.gnu.org/licenses/old-licenses/gpl-2.0.html>
// //
// OR // OR
// //
// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: // 2. An ADI specific BSD license, which can be found in the top level directory
// of this repository (LICENSE_ADIBSD), and also on-line at:
// https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD // https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD
// This will allow to generate bit files and not release the source code, // This will allow to generate bit files and not release the source code,
// as long as it attaches to an ADI device. // as long as it attaches to an ADI device.

View File

@ -2,7 +2,15 @@
// *************************************************************************** // ***************************************************************************
// Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved. // Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved.
// //
// This core is distributed in the hope that it will be useful, but WITHOUT ANY // In this HDL repository, there are many different and unique modules, consisting
// of various HDL (Verilog or VHDL) components. The individual modules are
// developed independently, and may be accompanied by separate and unique license
// terms.
//
// The user should read each of these license terms, and understand the
// freedoms and responsabilities that he or she has by using this source/core.
//
// This core is distributed in the hope that it will be useful, but WITHOUT ANY
// WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR // WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR
// A PARTICULAR PURPOSE. // A PARTICULAR PURPOSE.
// //
@ -10,12 +18,14 @@
// of this file, are permitted under one of the following two license terms: // of this file, are permitted under one of the following two license terms:
// //
// 1. The GNU General Public License version 2 as published by the // 1. The GNU General Public License version 2 as published by the
// Free Software Foundation, which can be found in the top level directory of // Free Software Foundation, which can be found in the top level directory
// the repository (LICENSE_GPL2), and at: <https://www.gnu.org/licenses/old-licenses/gpl-2.0.html> // of this repository (LICENSE_GPL2), and also online at:
// <https://www.gnu.org/licenses/old-licenses/gpl-2.0.html>
// //
// OR // OR
// //
// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: // 2. An ADI specific BSD license, which can be found in the top level directory
// of this repository (LICENSE_ADIBSD), and also on-line at:
// https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD // https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD
// This will allow to generate bit files and not release the source code, // This will allow to generate bit files and not release the source code,
// as long as it attaches to an ADI device. // as long as it attaches to an ADI device.

View File

@ -2,7 +2,15 @@
// *************************************************************************** // ***************************************************************************
// Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved. // Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved.
// //
// This core is distributed in the hope that it will be useful, but WITHOUT ANY // In this HDL repository, there are many different and unique modules, consisting
// of various HDL (Verilog or VHDL) components. The individual modules are
// developed independently, and may be accompanied by separate and unique license
// terms.
//
// The user should read each of these license terms, and understand the
// freedoms and responsabilities that he or she has by using this source/core.
//
// This core is distributed in the hope that it will be useful, but WITHOUT ANY
// WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR // WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR
// A PARTICULAR PURPOSE. // A PARTICULAR PURPOSE.
// //
@ -10,12 +18,14 @@
// of this file, are permitted under one of the following two license terms: // of this file, are permitted under one of the following two license terms:
// //
// 1. The GNU General Public License version 2 as published by the // 1. The GNU General Public License version 2 as published by the
// Free Software Foundation, which can be found in the top level directory of // Free Software Foundation, which can be found in the top level directory
// the repository (LICENSE_GPL2), and at: <https://www.gnu.org/licenses/old-licenses/gpl-2.0.html> // of this repository (LICENSE_GPL2), and also online at:
// <https://www.gnu.org/licenses/old-licenses/gpl-2.0.html>
// //
// OR // OR
// //
// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: // 2. An ADI specific BSD license, which can be found in the top level directory
// of this repository (LICENSE_ADIBSD), and also on-line at:
// https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD // https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD
// This will allow to generate bit files and not release the source code, // This will allow to generate bit files and not release the source code,
// as long as it attaches to an ADI device. // as long as it attaches to an ADI device.

View File

@ -2,7 +2,15 @@
// *************************************************************************** // ***************************************************************************
// Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved. // Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved.
// //
// This core is distributed in the hope that it will be useful, but WITHOUT ANY // In this HDL repository, there are many different and unique modules, consisting
// of various HDL (Verilog or VHDL) components. The individual modules are
// developed independently, and may be accompanied by separate and unique license
// terms.
//
// The user should read each of these license terms, and understand the
// freedoms and responsabilities that he or she has by using this source/core.
//
// This core is distributed in the hope that it will be useful, but WITHOUT ANY
// WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR // WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR
// A PARTICULAR PURPOSE. // A PARTICULAR PURPOSE.
// //
@ -10,12 +18,14 @@
// of this file, are permitted under one of the following two license terms: // of this file, are permitted under one of the following two license terms:
// //
// 1. The GNU General Public License version 2 as published by the // 1. The GNU General Public License version 2 as published by the
// Free Software Foundation, which can be found in the top level directory of // Free Software Foundation, which can be found in the top level directory
// the repository (LICENSE_GPL2), and at: <https://www.gnu.org/licenses/old-licenses/gpl-2.0.html> // of this repository (LICENSE_GPL2), and also online at:
// <https://www.gnu.org/licenses/old-licenses/gpl-2.0.html>
// //
// OR // OR
// //
// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: // 2. An ADI specific BSD license, which can be found in the top level directory
// of this repository (LICENSE_ADIBSD), and also on-line at:
// https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD // https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD
// This will allow to generate bit files and not release the source code, // This will allow to generate bit files and not release the source code,
// as long as it attaches to an ADI device. // as long as it attaches to an ADI device.

View File

@ -2,7 +2,15 @@
// *************************************************************************** // ***************************************************************************
// Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved. // Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved.
// //
// This core is distributed in the hope that it will be useful, but WITHOUT ANY // In this HDL repository, there are many different and unique modules, consisting
// of various HDL (Verilog or VHDL) components. The individual modules are
// developed independently, and may be accompanied by separate and unique license
// terms.
//
// The user should read each of these license terms, and understand the
// freedoms and responsabilities that he or she has by using this source/core.
//
// This core is distributed in the hope that it will be useful, but WITHOUT ANY
// WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR // WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR
// A PARTICULAR PURPOSE. // A PARTICULAR PURPOSE.
// //
@ -10,12 +18,14 @@
// of this file, are permitted under one of the following two license terms: // of this file, are permitted under one of the following two license terms:
// //
// 1. The GNU General Public License version 2 as published by the // 1. The GNU General Public License version 2 as published by the
// Free Software Foundation, which can be found in the top level directory of // Free Software Foundation, which can be found in the top level directory
// the repository (LICENSE_GPL2), and at: <https://www.gnu.org/licenses/old-licenses/gpl-2.0.html> // of this repository (LICENSE_GPL2), and also online at:
// <https://www.gnu.org/licenses/old-licenses/gpl-2.0.html>
// //
// OR // OR
// //
// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: // 2. An ADI specific BSD license, which can be found in the top level directory
// of this repository (LICENSE_ADIBSD), and also on-line at:
// https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD // https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD
// This will allow to generate bit files and not release the source code, // This will allow to generate bit files and not release the source code,
// as long as it attaches to an ADI device. // as long as it attaches to an ADI device.

View File

@ -2,7 +2,15 @@
// *************************************************************************** // ***************************************************************************
// Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved. // Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved.
// //
// This core is distributed in the hope that it will be useful, but WITHOUT ANY // In this HDL repository, there are many different and unique modules, consisting
// of various HDL (Verilog or VHDL) components. The individual modules are
// developed independently, and may be accompanied by separate and unique license
// terms.
//
// The user should read each of these license terms, and understand the
// freedoms and responsabilities that he or she has by using this source/core.
//
// This core is distributed in the hope that it will be useful, but WITHOUT ANY
// WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR // WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR
// A PARTICULAR PURPOSE. // A PARTICULAR PURPOSE.
// //
@ -10,12 +18,14 @@
// of this file, are permitted under one of the following two license terms: // of this file, are permitted under one of the following two license terms:
// //
// 1. The GNU General Public License version 2 as published by the // 1. The GNU General Public License version 2 as published by the
// Free Software Foundation, which can be found in the top level directory of // Free Software Foundation, which can be found in the top level directory
// the repository (LICENSE_GPL2), and at: <https://www.gnu.org/licenses/old-licenses/gpl-2.0.html> // of this repository (LICENSE_GPL2), and also online at:
// <https://www.gnu.org/licenses/old-licenses/gpl-2.0.html>
// //
// OR // OR
// //
// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: // 2. An ADI specific BSD license, which can be found in the top level directory
// of this repository (LICENSE_ADIBSD), and also on-line at:
// https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD // https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD
// This will allow to generate bit files and not release the source code, // This will allow to generate bit files and not release the source code,
// as long as it attaches to an ADI device. // as long as it attaches to an ADI device.

View File

@ -2,7 +2,15 @@
// *************************************************************************** // ***************************************************************************
// Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved. // Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved.
// //
// This core is distributed in the hope that it will be useful, but WITHOUT ANY // In this HDL repository, there are many different and unique modules, consisting
// of various HDL (Verilog or VHDL) components. The individual modules are
// developed independently, and may be accompanied by separate and unique license
// terms.
//
// The user should read each of these license terms, and understand the
// freedoms and responsabilities that he or she has by using this source/core.
//
// This core is distributed in the hope that it will be useful, but WITHOUT ANY
// WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR // WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR
// A PARTICULAR PURPOSE. // A PARTICULAR PURPOSE.
// //
@ -10,12 +18,14 @@
// of this file, are permitted under one of the following two license terms: // of this file, are permitted under one of the following two license terms:
// //
// 1. The GNU General Public License version 2 as published by the // 1. The GNU General Public License version 2 as published by the
// Free Software Foundation, which can be found in the top level directory of // Free Software Foundation, which can be found in the top level directory
// the repository (LICENSE_GPL2), and at: <https://www.gnu.org/licenses/old-licenses/gpl-2.0.html> // of this repository (LICENSE_GPL2), and also online at:
// <https://www.gnu.org/licenses/old-licenses/gpl-2.0.html>
// //
// OR // OR
// //
// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: // 2. An ADI specific BSD license, which can be found in the top level directory
// of this repository (LICENSE_ADIBSD), and also on-line at:
// https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD // https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD
// This will allow to generate bit files and not release the source code, // This will allow to generate bit files and not release the source code,
// as long as it attaches to an ADI device. // as long as it attaches to an ADI device.

View File

@ -2,7 +2,15 @@
// *************************************************************************** // ***************************************************************************
// Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved. // Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved.
// //
// This core is distributed in the hope that it will be useful, but WITHOUT ANY // In this HDL repository, there are many different and unique modules, consisting
// of various HDL (Verilog or VHDL) components. The individual modules are
// developed independently, and may be accompanied by separate and unique license
// terms.
//
// The user should read each of these license terms, and understand the
// freedoms and responsabilities that he or she has by using this source/core.
//
// This core is distributed in the hope that it will be useful, but WITHOUT ANY
// WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR // WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR
// A PARTICULAR PURPOSE. // A PARTICULAR PURPOSE.
// //
@ -10,12 +18,14 @@
// of this file, are permitted under one of the following two license terms: // of this file, are permitted under one of the following two license terms:
// //
// 1. The GNU General Public License version 2 as published by the // 1. The GNU General Public License version 2 as published by the
// Free Software Foundation, which can be found in the top level directory of // Free Software Foundation, which can be found in the top level directory
// the repository (LICENSE_GPL2), and at: <https://www.gnu.org/licenses/old-licenses/gpl-2.0.html> // of this repository (LICENSE_GPL2), and also online at:
// <https://www.gnu.org/licenses/old-licenses/gpl-2.0.html>
// //
// OR // OR
// //
// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: // 2. An ADI specific BSD license, which can be found in the top level directory
// of this repository (LICENSE_ADIBSD), and also on-line at:
// https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD // https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD
// This will allow to generate bit files and not release the source code, // This will allow to generate bit files and not release the source code,
// as long as it attaches to an ADI device. // as long as it attaches to an ADI device.

View File

@ -2,7 +2,15 @@
// *************************************************************************** // ***************************************************************************
// Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved. // Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved.
// //
// This core is distributed in the hope that it will be useful, but WITHOUT ANY // In this HDL repository, there are many different and unique modules, consisting
// of various HDL (Verilog or VHDL) components. The individual modules are
// developed independently, and may be accompanied by separate and unique license
// terms.
//
// The user should read each of these license terms, and understand the
// freedoms and responsabilities that he or she has by using this source/core.
//
// This core is distributed in the hope that it will be useful, but WITHOUT ANY
// WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR // WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR
// A PARTICULAR PURPOSE. // A PARTICULAR PURPOSE.
// //
@ -10,12 +18,14 @@
// of this file, are permitted under one of the following two license terms: // of this file, are permitted under one of the following two license terms:
// //
// 1. The GNU General Public License version 2 as published by the // 1. The GNU General Public License version 2 as published by the
// Free Software Foundation, which can be found in the top level directory of // Free Software Foundation, which can be found in the top level directory
// the repository (LICENSE_GPL2), and at: <https://www.gnu.org/licenses/old-licenses/gpl-2.0.html> // of this repository (LICENSE_GPL2), and also online at:
// <https://www.gnu.org/licenses/old-licenses/gpl-2.0.html>
// //
// OR // OR
// //
// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: // 2. An ADI specific BSD license, which can be found in the top level directory
// of this repository (LICENSE_ADIBSD), and also on-line at:
// https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD // https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD
// This will allow to generate bit files and not release the source code, // This will allow to generate bit files and not release the source code,
// as long as it attaches to an ADI device. // as long as it attaches to an ADI device.

View File

@ -2,7 +2,15 @@
// *************************************************************************** // ***************************************************************************
// Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved. // Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved.
// //
// This core is distributed in the hope that it will be useful, but WITHOUT ANY // In this HDL repository, there are many different and unique modules, consisting
// of various HDL (Verilog or VHDL) components. The individual modules are
// developed independently, and may be accompanied by separate and unique license
// terms.
//
// The user should read each of these license terms, and understand the
// freedoms and responsabilities that he or she has by using this source/core.
//
// This core is distributed in the hope that it will be useful, but WITHOUT ANY
// WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR // WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR
// A PARTICULAR PURPOSE. // A PARTICULAR PURPOSE.
// //
@ -10,12 +18,14 @@
// of this file, are permitted under one of the following two license terms: // of this file, are permitted under one of the following two license terms:
// //
// 1. The GNU General Public License version 2 as published by the // 1. The GNU General Public License version 2 as published by the
// Free Software Foundation, which can be found in the top level directory of // Free Software Foundation, which can be found in the top level directory
// the repository (LICENSE_GPL2), and at: <https://www.gnu.org/licenses/old-licenses/gpl-2.0.html> // of this repository (LICENSE_GPL2), and also online at:
// <https://www.gnu.org/licenses/old-licenses/gpl-2.0.html>
// //
// OR // OR
// //
// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: // 2. An ADI specific BSD license, which can be found in the top level directory
// of this repository (LICENSE_ADIBSD), and also on-line at:
// https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD // https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD
// This will allow to generate bit files and not release the source code, // This will allow to generate bit files and not release the source code,
// as long as it attaches to an ADI device. // as long as it attaches to an ADI device.

View File

@ -2,7 +2,15 @@
// *************************************************************************** // ***************************************************************************
// Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved. // Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved.
// //
// This core is distributed in the hope that it will be useful, but WITHOUT ANY // In this HDL repository, there are many different and unique modules, consisting
// of various HDL (Verilog or VHDL) components. The individual modules are
// developed independently, and may be accompanied by separate and unique license
// terms.
//
// The user should read each of these license terms, and understand the
// freedoms and responsabilities that he or she has by using this source/core.
//
// This core is distributed in the hope that it will be useful, but WITHOUT ANY
// WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR // WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR
// A PARTICULAR PURPOSE. // A PARTICULAR PURPOSE.
// //
@ -10,12 +18,14 @@
// of this file, are permitted under one of the following two license terms: // of this file, are permitted under one of the following two license terms:
// //
// 1. The GNU General Public License version 2 as published by the // 1. The GNU General Public License version 2 as published by the
// Free Software Foundation, which can be found in the top level directory of // Free Software Foundation, which can be found in the top level directory
// the repository (LICENSE_GPL2), and at: <https://www.gnu.org/licenses/old-licenses/gpl-2.0.html> // of this repository (LICENSE_GPL2), and also online at:
// <https://www.gnu.org/licenses/old-licenses/gpl-2.0.html>
// //
// OR // OR
// //
// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: // 2. An ADI specific BSD license, which can be found in the top level directory
// of this repository (LICENSE_ADIBSD), and also on-line at:
// https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD // https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD
// This will allow to generate bit files and not release the source code, // This will allow to generate bit files and not release the source code,
// as long as it attaches to an ADI device. // as long as it attaches to an ADI device.

View File

@ -2,7 +2,15 @@
// *************************************************************************** // ***************************************************************************
// Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved. // Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved.
// //
// This core is distributed in the hope that it will be useful, but WITHOUT ANY // In this HDL repository, there are many different and unique modules, consisting
// of various HDL (Verilog or VHDL) components. The individual modules are
// developed independently, and may be accompanied by separate and unique license
// terms.
//
// The user should read each of these license terms, and understand the
// freedoms and responsabilities that he or she has by using this source/core.
//
// This core is distributed in the hope that it will be useful, but WITHOUT ANY
// WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR // WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR
// A PARTICULAR PURPOSE. // A PARTICULAR PURPOSE.
// //
@ -10,12 +18,14 @@
// of this file, are permitted under one of the following two license terms: // of this file, are permitted under one of the following two license terms:
// //
// 1. The GNU General Public License version 2 as published by the // 1. The GNU General Public License version 2 as published by the
// Free Software Foundation, which can be found in the top level directory of // Free Software Foundation, which can be found in the top level directory
// the repository (LICENSE_GPL2), and at: <https://www.gnu.org/licenses/old-licenses/gpl-2.0.html> // of this repository (LICENSE_GPL2), and also online at:
// <https://www.gnu.org/licenses/old-licenses/gpl-2.0.html>
// //
// OR // OR
// //
// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: // 2. An ADI specific BSD license, which can be found in the top level directory
// of this repository (LICENSE_ADIBSD), and also on-line at:
// https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD // https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD
// This will allow to generate bit files and not release the source code, // This will allow to generate bit files and not release the source code,
// as long as it attaches to an ADI device. // as long as it attaches to an ADI device.

View File

@ -2,7 +2,15 @@
// *************************************************************************** // ***************************************************************************
// Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved. // Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved.
// //
// This core is distributed in the hope that it will be useful, but WITHOUT ANY // In this HDL repository, there are many different and unique modules, consisting
// of various HDL (Verilog or VHDL) components. The individual modules are
// developed independently, and may be accompanied by separate and unique license
// terms.
//
// The user should read each of these license terms, and understand the
// freedoms and responsabilities that he or she has by using this source/core.
//
// This core is distributed in the hope that it will be useful, but WITHOUT ANY
// WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR // WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR
// A PARTICULAR PURPOSE. // A PARTICULAR PURPOSE.
// //
@ -10,12 +18,14 @@
// of this file, are permitted under one of the following two license terms: // of this file, are permitted under one of the following two license terms:
// //
// 1. The GNU General Public License version 2 as published by the // 1. The GNU General Public License version 2 as published by the
// Free Software Foundation, which can be found in the top level directory of // Free Software Foundation, which can be found in the top level directory
// the repository (LICENSE_GPL2), and at: <https://www.gnu.org/licenses/old-licenses/gpl-2.0.html> // of this repository (LICENSE_GPL2), and also online at:
// <https://www.gnu.org/licenses/old-licenses/gpl-2.0.html>
// //
// OR // OR
// //
// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: // 2. An ADI specific BSD license, which can be found in the top level directory
// of this repository (LICENSE_ADIBSD), and also on-line at:
// https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD // https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD
// This will allow to generate bit files and not release the source code, // This will allow to generate bit files and not release the source code,
// as long as it attaches to an ADI device. // as long as it attaches to an ADI device.

View File

@ -2,7 +2,15 @@
// *************************************************************************** // ***************************************************************************
// Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved. // Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved.
// //
// This core is distributed in the hope that it will be useful, but WITHOUT ANY // In this HDL repository, there are many different and unique modules, consisting
// of various HDL (Verilog or VHDL) components. The individual modules are
// developed independently, and may be accompanied by separate and unique license
// terms.
//
// The user should read each of these license terms, and understand the
// freedoms and responsabilities that he or she has by using this source/core.
//
// This core is distributed in the hope that it will be useful, but WITHOUT ANY
// WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR // WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR
// A PARTICULAR PURPOSE. // A PARTICULAR PURPOSE.
// //
@ -10,12 +18,14 @@
// of this file, are permitted under one of the following two license terms: // of this file, are permitted under one of the following two license terms:
// //
// 1. The GNU General Public License version 2 as published by the // 1. The GNU General Public License version 2 as published by the
// Free Software Foundation, which can be found in the top level directory of // Free Software Foundation, which can be found in the top level directory
// the repository (LICENSE_GPL2), and at: <https://www.gnu.org/licenses/old-licenses/gpl-2.0.html> // of this repository (LICENSE_GPL2), and also online at:
// <https://www.gnu.org/licenses/old-licenses/gpl-2.0.html>
// //
// OR // OR
// //
// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: // 2. An ADI specific BSD license, which can be found in the top level directory
// of this repository (LICENSE_ADIBSD), and also on-line at:
// https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD // https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD
// This will allow to generate bit files and not release the source code, // This will allow to generate bit files and not release the source code,
// as long as it attaches to an ADI device. // as long as it attaches to an ADI device.

View File

@ -2,7 +2,15 @@
// *************************************************************************** // ***************************************************************************
// Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved. // Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved.
// //
// This core is distributed in the hope that it will be useful, but WITHOUT ANY // In this HDL repository, there are many different and unique modules, consisting
// of various HDL (Verilog or VHDL) components. The individual modules are
// developed independently, and may be accompanied by separate and unique license
// terms.
//
// The user should read each of these license terms, and understand the
// freedoms and responsabilities that he or she has by using this source/core.
//
// This core is distributed in the hope that it will be useful, but WITHOUT ANY
// WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR // WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR
// A PARTICULAR PURPOSE. // A PARTICULAR PURPOSE.
// //
@ -10,12 +18,14 @@
// of this file, are permitted under one of the following two license terms: // of this file, are permitted under one of the following two license terms:
// //
// 1. The GNU General Public License version 2 as published by the // 1. The GNU General Public License version 2 as published by the
// Free Software Foundation, which can be found in the top level directory of // Free Software Foundation, which can be found in the top level directory
// the repository (LICENSE_GPL2), and at: <https://www.gnu.org/licenses/old-licenses/gpl-2.0.html> // of this repository (LICENSE_GPL2), and also online at:
// <https://www.gnu.org/licenses/old-licenses/gpl-2.0.html>
// //
// OR // OR
// //
// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: // 2. An ADI specific BSD license, which can be found in the top level directory
// of this repository (LICENSE_ADIBSD), and also on-line at:
// https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD // https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD
// This will allow to generate bit files and not release the source code, // This will allow to generate bit files and not release the source code,
// as long as it attaches to an ADI device. // as long as it attaches to an ADI device.

View File

@ -2,7 +2,15 @@
// *************************************************************************** // ***************************************************************************
// Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved. // Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved.
// //
// This core is distributed in the hope that it will be useful, but WITHOUT ANY // In this HDL repository, there are many different and unique modules, consisting
// of various HDL (Verilog or VHDL) components. The individual modules are
// developed independently, and may be accompanied by separate and unique license
// terms.
//
// The user should read each of these license terms, and understand the
// freedoms and responsabilities that he or she has by using this source/core.
//
// This core is distributed in the hope that it will be useful, but WITHOUT ANY
// WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR // WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR
// A PARTICULAR PURPOSE. // A PARTICULAR PURPOSE.
// //
@ -10,12 +18,14 @@
// of this file, are permitted under one of the following two license terms: // of this file, are permitted under one of the following two license terms:
// //
// 1. The GNU General Public License version 2 as published by the // 1. The GNU General Public License version 2 as published by the
// Free Software Foundation, which can be found in the top level directory of // Free Software Foundation, which can be found in the top level directory
// the repository (LICENSE_GPL2), and at: <https://www.gnu.org/licenses/old-licenses/gpl-2.0.html> // of this repository (LICENSE_GPL2), and also online at:
// <https://www.gnu.org/licenses/old-licenses/gpl-2.0.html>
// //
// OR // OR
// //
// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: // 2. An ADI specific BSD license, which can be found in the top level directory
// of this repository (LICENSE_ADIBSD), and also on-line at:
// https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD // https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD
// This will allow to generate bit files and not release the source code, // This will allow to generate bit files and not release the source code,
// as long as it attaches to an ADI device. // as long as it attaches to an ADI device.

View File

@ -2,7 +2,15 @@
// *************************************************************************** // ***************************************************************************
// Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved. // Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved.
// //
// This core is distributed in the hope that it will be useful, but WITHOUT ANY // In this HDL repository, there are many different and unique modules, consisting
// of various HDL (Verilog or VHDL) components. The individual modules are
// developed independently, and may be accompanied by separate and unique license
// terms.
//
// The user should read each of these license terms, and understand the
// freedoms and responsabilities that he or she has by using this source/core.
//
// This core is distributed in the hope that it will be useful, but WITHOUT ANY
// WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR // WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR
// A PARTICULAR PURPOSE. // A PARTICULAR PURPOSE.
// //
@ -10,12 +18,14 @@
// of this file, are permitted under one of the following two license terms: // of this file, are permitted under one of the following two license terms:
// //
// 1. The GNU General Public License version 2 as published by the // 1. The GNU General Public License version 2 as published by the
// Free Software Foundation, which can be found in the top level directory of // Free Software Foundation, which can be found in the top level directory
// the repository (LICENSE_GPL2), and at: <https://www.gnu.org/licenses/old-licenses/gpl-2.0.html> // of this repository (LICENSE_GPL2), and also online at:
// <https://www.gnu.org/licenses/old-licenses/gpl-2.0.html>
// //
// OR // OR
// //
// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: // 2. An ADI specific BSD license, which can be found in the top level directory
// of this repository (LICENSE_ADIBSD), and also on-line at:
// https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD // https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD
// This will allow to generate bit files and not release the source code, // This will allow to generate bit files and not release the source code,
// as long as it attaches to an ADI device. // as long as it attaches to an ADI device.

View File

@ -2,7 +2,15 @@
// *************************************************************************** // ***************************************************************************
// Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved. // Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved.
// //
// This core is distributed in the hope that it will be useful, but WITHOUT ANY // In this HDL repository, there are many different and unique modules, consisting
// of various HDL (Verilog or VHDL) components. The individual modules are
// developed independently, and may be accompanied by separate and unique license
// terms.
//
// The user should read each of these license terms, and understand the
// freedoms and responsabilities that he or she has by using this source/core.
//
// This core is distributed in the hope that it will be useful, but WITHOUT ANY
// WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR // WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR
// A PARTICULAR PURPOSE. // A PARTICULAR PURPOSE.
// //
@ -10,12 +18,14 @@
// of this file, are permitted under one of the following two license terms: // of this file, are permitted under one of the following two license terms:
// //
// 1. The GNU General Public License version 2 as published by the // 1. The GNU General Public License version 2 as published by the
// Free Software Foundation, which can be found in the top level directory of // Free Software Foundation, which can be found in the top level directory
// the repository (LICENSE_GPL2), and at: <https://www.gnu.org/licenses/old-licenses/gpl-2.0.html> // of this repository (LICENSE_GPL2), and also online at:
// <https://www.gnu.org/licenses/old-licenses/gpl-2.0.html>
// //
// OR // OR
// //
// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: // 2. An ADI specific BSD license, which can be found in the top level directory
// of this repository (LICENSE_ADIBSD), and also on-line at:
// https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD // https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD
// This will allow to generate bit files and not release the source code, // This will allow to generate bit files and not release the source code,
// as long as it attaches to an ADI device. // as long as it attaches to an ADI device.

View File

@ -2,21 +2,33 @@
// *************************************************************************** // ***************************************************************************
// Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved. // Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved.
// //
// Each core or library found in this collection may have its own licensing terms. // In this HDL repository, there are many different and unique modules, consisting
// The user should keep this in in mind while exploring these cores. // of various HDL (Verilog or VHDL) components. The individual modules are
// developed independently, and may be accompanied by separate and unique license
// terms.
// //
// Redistribution and use in source and binary forms, // The user should read each of these license terms, and understand the
// with or without modification of this file, are permitted under the terms of either // freedoms and responsabilities that he or she has by using this source/core.
// (at the option of the user): //
// This core is distributed in the hope that it will be useful, but WITHOUT ANY
// WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR
// A PARTICULAR PURPOSE.
//
// Redistribution and use of source or resulting binaries, with or without modification
// of this file, are permitted under one of the following two license terms:
// //
// 1. The GNU General Public License version 2 as published by the // 1. The GNU General Public License version 2 as published by the
// Free Software Foundation, which can be found in the top level directory, or at: // Free Software Foundation, which can be found in the top level directory
// https://www.gnu.org/licenses/old-licenses/gpl-2.0.en.html // of this repository (LICENSE_GPL2), and also online at:
// <https://www.gnu.org/licenses/old-licenses/gpl-2.0.html>
// //
// OR // OR
// //
// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: // 2. An ADI specific BSD license, which can be found in the top level directory
// https://github.com/analogdevicesinc/hdl/blob/dev/LICENSE // of this repository (LICENSE_ADIBSD), and also on-line at:
// https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD
// This will allow to generate bit files and not release the source code,
// as long as it attaches to an ADI device.
// //
// *************************************************************************** // ***************************************************************************
// *************************************************************************** // ***************************************************************************

View File

@ -2,7 +2,15 @@
// *************************************************************************** // ***************************************************************************
// Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved. // Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved.
// //
// This core is distributed in the hope that it will be useful, but WITHOUT ANY // In this HDL repository, there are many different and unique modules, consisting
// of various HDL (Verilog or VHDL) components. The individual modules are
// developed independently, and may be accompanied by separate and unique license
// terms.
//
// The user should read each of these license terms, and understand the
// freedoms and responsabilities that he or she has by using this source/core.
//
// This core is distributed in the hope that it will be useful, but WITHOUT ANY
// WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR // WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR
// A PARTICULAR PURPOSE. // A PARTICULAR PURPOSE.
// //
@ -10,12 +18,14 @@
// of this file, are permitted under one of the following two license terms: // of this file, are permitted under one of the following two license terms:
// //
// 1. The GNU General Public License version 2 as published by the // 1. The GNU General Public License version 2 as published by the
// Free Software Foundation, which can be found in the top level directory of // Free Software Foundation, which can be found in the top level directory
// the repository (LICENSE_GPL2), and at: <https://www.gnu.org/licenses/old-licenses/gpl-2.0.html> // of this repository (LICENSE_GPL2), and also online at:
// <https://www.gnu.org/licenses/old-licenses/gpl-2.0.html>
// //
// OR // OR
// //
// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: // 2. An ADI specific BSD license, which can be found in the top level directory
// of this repository (LICENSE_ADIBSD), and also on-line at:
// https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD // https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD
// This will allow to generate bit files and not release the source code, // This will allow to generate bit files and not release the source code,
// as long as it attaches to an ADI device. // as long as it attaches to an ADI device.

View File

@ -2,21 +2,33 @@
// *************************************************************************** // ***************************************************************************
// Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved. // Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved.
// //
// Each core or library found in this collection may have its own licensing terms. // In this HDL repository, there are many different and unique modules, consisting
// The user should keep this in in mind while exploring these cores. // of various HDL (Verilog or VHDL) components. The individual modules are
// developed independently, and may be accompanied by separate and unique license
// terms.
// //
// Redistribution and use in source and binary forms, // The user should read each of these license terms, and understand the
// with or without modification of this file, are permitted under the terms of either // freedoms and responsabilities that he or she has by using this source/core.
// (at the option of the user): //
// This core is distributed in the hope that it will be useful, but WITHOUT ANY
// WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR
// A PARTICULAR PURPOSE.
//
// Redistribution and use of source or resulting binaries, with or without modification
// of this file, are permitted under one of the following two license terms:
// //
// 1. The GNU General Public License version 2 as published by the // 1. The GNU General Public License version 2 as published by the
// Free Software Foundation, which can be found in the top level directory, or at: // Free Software Foundation, which can be found in the top level directory
// https://www.gnu.org/licenses/old-licenses/gpl-2.0.en.html // of this repository (LICENSE_GPL2), and also online at:
// <https://www.gnu.org/licenses/old-licenses/gpl-2.0.html>
// //
// OR // OR
// //
// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: // 2. An ADI specific BSD license, which can be found in the top level directory
// https://github.com/analogdevicesinc/hdl/blob/dev/LICENSE // of this repository (LICENSE_ADIBSD), and also on-line at:
// https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD
// This will allow to generate bit files and not release the source code,
// as long as it attaches to an ADI device.
// //
// *************************************************************************** // ***************************************************************************
// *************************************************************************** // ***************************************************************************

View File

@ -2,21 +2,33 @@
// *************************************************************************** // ***************************************************************************
// Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved. // Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved.
// //
// Each core or library found in this collection may have its own licensing terms. // In this HDL repository, there are many different and unique modules, consisting
// The user should keep this in in mind while exploring these cores. // of various HDL (Verilog or VHDL) components. The individual modules are
// developed independently, and may be accompanied by separate and unique license
// terms.
// //
// Redistribution and use in source and binary forms, // The user should read each of these license terms, and understand the
// with or without modification of this file, are permitted under the terms of either // freedoms and responsabilities that he or she has by using this source/core.
// (at the option of the user): //
// This core is distributed in the hope that it will be useful, but WITHOUT ANY
// WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR
// A PARTICULAR PURPOSE.
//
// Redistribution and use of source or resulting binaries, with or without modification
// of this file, are permitted under one of the following two license terms:
// //
// 1. The GNU General Public License version 2 as published by the // 1. The GNU General Public License version 2 as published by the
// Free Software Foundation, which can be found in the top level directory, or at: // Free Software Foundation, which can be found in the top level directory
// https://www.gnu.org/licenses/old-licenses/gpl-2.0.en.html // of this repository (LICENSE_GPL2), and also online at:
// <https://www.gnu.org/licenses/old-licenses/gpl-2.0.html>
// //
// OR // OR
// //
// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: // 2. An ADI specific BSD license, which can be found in the top level directory
// https://github.com/analogdevicesinc/hdl/blob/dev/LICENSE // of this repository (LICENSE_ADIBSD), and also on-line at:
// https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD
// This will allow to generate bit files and not release the source code,
// as long as it attaches to an ADI device.
// //
// *************************************************************************** // ***************************************************************************
// *************************************************************************** // ***************************************************************************

View File

@ -2,21 +2,33 @@
// *************************************************************************** // ***************************************************************************
// Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved. // Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved.
// //
// Each core or library found in this collection may have its own licensing terms. // In this HDL repository, there are many different and unique modules, consisting
// The user should keep this in in mind while exploring these cores. // of various HDL (Verilog or VHDL) components. The individual modules are
// developed independently, and may be accompanied by separate and unique license
// terms.
// //
// Redistribution and use in source and binary forms, // The user should read each of these license terms, and understand the
// with or without modification of this file, are permitted under the terms of either // freedoms and responsabilities that he or she has by using this source/core.
// (at the option of the user): //
// This core is distributed in the hope that it will be useful, but WITHOUT ANY
// WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR
// A PARTICULAR PURPOSE.
//
// Redistribution and use of source or resulting binaries, with or without modification
// of this file, are permitted under one of the following two license terms:
// //
// 1. The GNU General Public License version 2 as published by the // 1. The GNU General Public License version 2 as published by the
// Free Software Foundation, which can be found in the top level directory, or at: // Free Software Foundation, which can be found in the top level directory
// https://www.gnu.org/licenses/old-licenses/gpl-2.0.en.html // of this repository (LICENSE_GPL2), and also online at:
// <https://www.gnu.org/licenses/old-licenses/gpl-2.0.html>
// //
// OR // OR
// //
// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: // 2. An ADI specific BSD license, which can be found in the top level directory
// https://github.com/analogdevicesinc/hdl/blob/dev/LICENSE // of this repository (LICENSE_ADIBSD), and also on-line at:
// https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD
// This will allow to generate bit files and not release the source code,
// as long as it attaches to an ADI device.
// //
// *************************************************************************** // ***************************************************************************
// *************************************************************************** // ***************************************************************************

View File

@ -2,7 +2,15 @@
// *************************************************************************** // ***************************************************************************
// Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved. // Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved.
// //
// This core is distributed in the hope that it will be useful, but WITHOUT ANY // In this HDL repository, there are many different and unique modules, consisting
// of various HDL (Verilog or VHDL) components. The individual modules are
// developed independently, and may be accompanied by separate and unique license
// terms.
//
// The user should read each of these license terms, and understand the
// freedoms and responsabilities that he or she has by using this source/core.
//
// This core is distributed in the hope that it will be useful, but WITHOUT ANY
// WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR // WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR
// A PARTICULAR PURPOSE. // A PARTICULAR PURPOSE.
// //
@ -10,12 +18,14 @@
// of this file, are permitted under one of the following two license terms: // of this file, are permitted under one of the following two license terms:
// //
// 1. The GNU General Public License version 2 as published by the // 1. The GNU General Public License version 2 as published by the
// Free Software Foundation, which can be found in the top level directory of // Free Software Foundation, which can be found in the top level directory
// the repository (LICENSE_GPL2), and at: <https://www.gnu.org/licenses/old-licenses/gpl-2.0.html> // of this repository (LICENSE_GPL2), and also online at:
// <https://www.gnu.org/licenses/old-licenses/gpl-2.0.html>
// //
// OR // OR
// //
// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: // 2. An ADI specific BSD license, which can be found in the top level directory
// of this repository (LICENSE_ADIBSD), and also on-line at:
// https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD // https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD
// This will allow to generate bit files and not release the source code, // This will allow to generate bit files and not release the source code,
// as long as it attaches to an ADI device. // as long as it attaches to an ADI device.

View File

@ -2,7 +2,15 @@
// *************************************************************************** // ***************************************************************************
// Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved. // Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved.
// //
// This core is distributed in the hope that it will be useful, but WITHOUT ANY // In this HDL repository, there are many different and unique modules, consisting
// of various HDL (Verilog or VHDL) components. The individual modules are
// developed independently, and may be accompanied by separate and unique license
// terms.
//
// The user should read each of these license terms, and understand the
// freedoms and responsabilities that he or she has by using this source/core.
//
// This core is distributed in the hope that it will be useful, but WITHOUT ANY
// WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR // WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR
// A PARTICULAR PURPOSE. // A PARTICULAR PURPOSE.
// //
@ -10,12 +18,14 @@
// of this file, are permitted under one of the following two license terms: // of this file, are permitted under one of the following two license terms:
// //
// 1. The GNU General Public License version 2 as published by the // 1. The GNU General Public License version 2 as published by the
// Free Software Foundation, which can be found in the top level directory of // Free Software Foundation, which can be found in the top level directory
// the repository (LICENSE_GPL2), and at: <https://www.gnu.org/licenses/old-licenses/gpl-2.0.html> // of this repository (LICENSE_GPL2), and also online at:
// <https://www.gnu.org/licenses/old-licenses/gpl-2.0.html>
// //
// OR // OR
// //
// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: // 2. An ADI specific BSD license, which can be found in the top level directory
// of this repository (LICENSE_ADIBSD), and also on-line at:
// https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD // https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD
// This will allow to generate bit files and not release the source code, // This will allow to generate bit files and not release the source code,
// as long as it attaches to an ADI device. // as long as it attaches to an ADI device.

View File

@ -2,7 +2,15 @@
// *************************************************************************** // ***************************************************************************
// Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved. // Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved.
// //
// This core is distributed in the hope that it will be useful, but WITHOUT ANY // In this HDL repository, there are many different and unique modules, consisting
// of various HDL (Verilog or VHDL) components. The individual modules are
// developed independently, and may be accompanied by separate and unique license
// terms.
//
// The user should read each of these license terms, and understand the
// freedoms and responsabilities that he or she has by using this source/core.
//
// This core is distributed in the hope that it will be useful, but WITHOUT ANY
// WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR // WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR
// A PARTICULAR PURPOSE. // A PARTICULAR PURPOSE.
// //
@ -10,12 +18,14 @@
// of this file, are permitted under one of the following two license terms: // of this file, are permitted under one of the following two license terms:
// //
// 1. The GNU General Public License version 2 as published by the // 1. The GNU General Public License version 2 as published by the
// Free Software Foundation, which can be found in the top level directory of // Free Software Foundation, which can be found in the top level directory
// the repository (LICENSE_GPL2), and at: <https://www.gnu.org/licenses/old-licenses/gpl-2.0.html> // of this repository (LICENSE_GPL2), and also online at:
// <https://www.gnu.org/licenses/old-licenses/gpl-2.0.html>
// //
// OR // OR
// //
// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: // 2. An ADI specific BSD license, which can be found in the top level directory
// of this repository (LICENSE_ADIBSD), and also on-line at:
// https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD // https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD
// This will allow to generate bit files and not release the source code, // This will allow to generate bit files and not release the source code,
// as long as it attaches to an ADI device. // as long as it attaches to an ADI device.

View File

@ -2,7 +2,15 @@
// *************************************************************************** // ***************************************************************************
// Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved. // Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved.
// //
// This core is distributed in the hope that it will be useful, but WITHOUT ANY // In this HDL repository, there are many different and unique modules, consisting
// of various HDL (Verilog or VHDL) components. The individual modules are
// developed independently, and may be accompanied by separate and unique license
// terms.
//
// The user should read each of these license terms, and understand the
// freedoms and responsabilities that he or she has by using this source/core.
//
// This core is distributed in the hope that it will be useful, but WITHOUT ANY
// WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR // WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR
// A PARTICULAR PURPOSE. // A PARTICULAR PURPOSE.
// //
@ -10,12 +18,14 @@
// of this file, are permitted under one of the following two license terms: // of this file, are permitted under one of the following two license terms:
// //
// 1. The GNU General Public License version 2 as published by the // 1. The GNU General Public License version 2 as published by the
// Free Software Foundation, which can be found in the top level directory of // Free Software Foundation, which can be found in the top level directory
// the repository (LICENSE_GPL2), and at: <https://www.gnu.org/licenses/old-licenses/gpl-2.0.html> // of this repository (LICENSE_GPL2), and also online at:
// <https://www.gnu.org/licenses/old-licenses/gpl-2.0.html>
// //
// OR // OR
// //
// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: // 2. An ADI specific BSD license, which can be found in the top level directory
// of this repository (LICENSE_ADIBSD), and also on-line at:
// https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD // https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD
// This will allow to generate bit files and not release the source code, // This will allow to generate bit files and not release the source code,
// as long as it attaches to an ADI device. // as long as it attaches to an ADI device.

View File

@ -2,7 +2,15 @@
// *************************************************************************** // ***************************************************************************
// Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved. // Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved.
// //
// This core is distributed in the hope that it will be useful, but WITHOUT ANY // In this HDL repository, there are many different and unique modules, consisting
// of various HDL (Verilog or VHDL) components. The individual modules are
// developed independently, and may be accompanied by separate and unique license
// terms.
//
// The user should read each of these license terms, and understand the
// freedoms and responsabilities that he or she has by using this source/core.
//
// This core is distributed in the hope that it will be useful, but WITHOUT ANY
// WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR // WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR
// A PARTICULAR PURPOSE. // A PARTICULAR PURPOSE.
// //
@ -10,12 +18,14 @@
// of this file, are permitted under one of the following two license terms: // of this file, are permitted under one of the following two license terms:
// //
// 1. The GNU General Public License version 2 as published by the // 1. The GNU General Public License version 2 as published by the
// Free Software Foundation, which can be found in the top level directory of // Free Software Foundation, which can be found in the top level directory
// the repository (LICENSE_GPL2), and at: <https://www.gnu.org/licenses/old-licenses/gpl-2.0.html> // of this repository (LICENSE_GPL2), and also online at:
// <https://www.gnu.org/licenses/old-licenses/gpl-2.0.html>
// //
// OR // OR
// //
// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: // 2. An ADI specific BSD license, which can be found in the top level directory
// of this repository (LICENSE_ADIBSD), and also on-line at:
// https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD // https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD
// This will allow to generate bit files and not release the source code, // This will allow to generate bit files and not release the source code,
// as long as it attaches to an ADI device. // as long as it attaches to an ADI device.

View File

@ -2,7 +2,15 @@
// *************************************************************************** // ***************************************************************************
// Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved. // Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved.
// //
// This core is distributed in the hope that it will be useful, but WITHOUT ANY // In this HDL repository, there are many different and unique modules, consisting
// of various HDL (Verilog or VHDL) components. The individual modules are
// developed independently, and may be accompanied by separate and unique license
// terms.
//
// The user should read each of these license terms, and understand the
// freedoms and responsabilities that he or she has by using this source/core.
//
// This core is distributed in the hope that it will be useful, but WITHOUT ANY
// WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR // WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR
// A PARTICULAR PURPOSE. // A PARTICULAR PURPOSE.
// //
@ -10,12 +18,14 @@
// of this file, are permitted under one of the following two license terms: // of this file, are permitted under one of the following two license terms:
// //
// 1. The GNU General Public License version 2 as published by the // 1. The GNU General Public License version 2 as published by the
// Free Software Foundation, which can be found in the top level directory of // Free Software Foundation, which can be found in the top level directory
// the repository (LICENSE_GPL2), and at: <https://www.gnu.org/licenses/old-licenses/gpl-2.0.html> // of this repository (LICENSE_GPL2), and also online at:
// <https://www.gnu.org/licenses/old-licenses/gpl-2.0.html>
// //
// OR // OR
// //
// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: // 2. An ADI specific BSD license, which can be found in the top level directory
// of this repository (LICENSE_ADIBSD), and also on-line at:
// https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD // https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD
// This will allow to generate bit files and not release the source code, // This will allow to generate bit files and not release the source code,
// as long as it attaches to an ADI device. // as long as it attaches to an ADI device.

View File

@ -2,7 +2,15 @@
// *************************************************************************** // ***************************************************************************
// Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved. // Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved.
// //
// This core is distributed in the hope that it will be useful, but WITHOUT ANY // In this HDL repository, there are many different and unique modules, consisting
// of various HDL (Verilog or VHDL) components. The individual modules are
// developed independently, and may be accompanied by separate and unique license
// terms.
//
// The user should read each of these license terms, and understand the
// freedoms and responsabilities that he or she has by using this source/core.
//
// This core is distributed in the hope that it will be useful, but WITHOUT ANY
// WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR // WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR
// A PARTICULAR PURPOSE. // A PARTICULAR PURPOSE.
// //
@ -10,12 +18,14 @@
// of this file, are permitted under one of the following two license terms: // of this file, are permitted under one of the following two license terms:
// //
// 1. The GNU General Public License version 2 as published by the // 1. The GNU General Public License version 2 as published by the
// Free Software Foundation, which can be found in the top level directory of // Free Software Foundation, which can be found in the top level directory
// the repository (LICENSE_GPL2), and at: <https://www.gnu.org/licenses/old-licenses/gpl-2.0.html> // of this repository (LICENSE_GPL2), and also online at:
// <https://www.gnu.org/licenses/old-licenses/gpl-2.0.html>
// //
// OR // OR
// //
// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: // 2. An ADI specific BSD license, which can be found in the top level directory
// of this repository (LICENSE_ADIBSD), and also on-line at:
// https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD // https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD
// This will allow to generate bit files and not release the source code, // This will allow to generate bit files and not release the source code,
// as long as it attaches to an ADI device. // as long as it attaches to an ADI device.

View File

@ -2,7 +2,15 @@
// *************************************************************************** // ***************************************************************************
// Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved. // Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved.
// //
// This core is distributed in the hope that it will be useful, but WITHOUT ANY // In this HDL repository, there are many different and unique modules, consisting
// of various HDL (Verilog or VHDL) components. The individual modules are
// developed independently, and may be accompanied by separate and unique license
// terms.
//
// The user should read each of these license terms, and understand the
// freedoms and responsabilities that he or she has by using this source/core.
//
// This core is distributed in the hope that it will be useful, but WITHOUT ANY
// WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR // WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR
// A PARTICULAR PURPOSE. // A PARTICULAR PURPOSE.
// //
@ -10,12 +18,14 @@
// of this file, are permitted under one of the following two license terms: // of this file, are permitted under one of the following two license terms:
// //
// 1. The GNU General Public License version 2 as published by the // 1. The GNU General Public License version 2 as published by the
// Free Software Foundation, which can be found in the top level directory of // Free Software Foundation, which can be found in the top level directory
// the repository (LICENSE_GPL2), and at: <https://www.gnu.org/licenses/old-licenses/gpl-2.0.html> // of this repository (LICENSE_GPL2), and also online at:
// <https://www.gnu.org/licenses/old-licenses/gpl-2.0.html>
// //
// OR // OR
// //
// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: // 2. An ADI specific BSD license, which can be found in the top level directory
// of this repository (LICENSE_ADIBSD), and also on-line at:
// https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD // https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD
// This will allow to generate bit files and not release the source code, // This will allow to generate bit files and not release the source code,
// as long as it attaches to an ADI device. // as long as it attaches to an ADI device.

View File

@ -2,7 +2,15 @@
// *************************************************************************** // ***************************************************************************
// Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved. // Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved.
// //
// This core is distributed in the hope that it will be useful, but WITHOUT ANY // In this HDL repository, there are many different and unique modules, consisting
// of various HDL (Verilog or VHDL) components. The individual modules are
// developed independently, and may be accompanied by separate and unique license
// terms.
//
// The user should read each of these license terms, and understand the
// freedoms and responsabilities that he or she has by using this source/core.
//
// This core is distributed in the hope that it will be useful, but WITHOUT ANY
// WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR // WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR
// A PARTICULAR PURPOSE. // A PARTICULAR PURPOSE.
// //
@ -10,12 +18,14 @@
// of this file, are permitted under one of the following two license terms: // of this file, are permitted under one of the following two license terms:
// //
// 1. The GNU General Public License version 2 as published by the // 1. The GNU General Public License version 2 as published by the
// Free Software Foundation, which can be found in the top level directory of // Free Software Foundation, which can be found in the top level directory
// the repository (LICENSE_GPL2), and at: <https://www.gnu.org/licenses/old-licenses/gpl-2.0.html> // of this repository (LICENSE_GPL2), and also online at:
// <https://www.gnu.org/licenses/old-licenses/gpl-2.0.html>
// //
// OR // OR
// //
// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: // 2. An ADI specific BSD license, which can be found in the top level directory
// of this repository (LICENSE_ADIBSD), and also on-line at:
// https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD // https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD
// This will allow to generate bit files and not release the source code, // This will allow to generate bit files and not release the source code,
// as long as it attaches to an ADI device. // as long as it attaches to an ADI device.

View File

@ -2,7 +2,15 @@
// *************************************************************************** // ***************************************************************************
// Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved. // Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved.
// //
// This core is distributed in the hope that it will be useful, but WITHOUT ANY // In this HDL repository, there are many different and unique modules, consisting
// of various HDL (Verilog or VHDL) components. The individual modules are
// developed independently, and may be accompanied by separate and unique license
// terms.
//
// The user should read each of these license terms, and understand the
// freedoms and responsabilities that he or she has by using this source/core.
//
// This core is distributed in the hope that it will be useful, but WITHOUT ANY
// WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR // WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR
// A PARTICULAR PURPOSE. // A PARTICULAR PURPOSE.
// //
@ -10,12 +18,14 @@
// of this file, are permitted under one of the following two license terms: // of this file, are permitted under one of the following two license terms:
// //
// 1. The GNU General Public License version 2 as published by the // 1. The GNU General Public License version 2 as published by the
// Free Software Foundation, which can be found in the top level directory of // Free Software Foundation, which can be found in the top level directory
// the repository (LICENSE_GPL2), and at: <https://www.gnu.org/licenses/old-licenses/gpl-2.0.html> // of this repository (LICENSE_GPL2), and also online at:
// <https://www.gnu.org/licenses/old-licenses/gpl-2.0.html>
// //
// OR // OR
// //
// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: // 2. An ADI specific BSD license, which can be found in the top level directory
// of this repository (LICENSE_ADIBSD), and also on-line at:
// https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD // https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD
// This will allow to generate bit files and not release the source code, // This will allow to generate bit files and not release the source code,
// as long as it attaches to an ADI device. // as long as it attaches to an ADI device.

View File

@ -2,7 +2,15 @@
// *************************************************************************** // ***************************************************************************
// Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved. // Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved.
// //
// This core is distributed in the hope that it will be useful, but WITHOUT ANY // In this HDL repository, there are many different and unique modules, consisting
// of various HDL (Verilog or VHDL) components. The individual modules are
// developed independently, and may be accompanied by separate and unique license
// terms.
//
// The user should read each of these license terms, and understand the
// freedoms and responsabilities that he or she has by using this source/core.
//
// This core is distributed in the hope that it will be useful, but WITHOUT ANY
// WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR // WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR
// A PARTICULAR PURPOSE. // A PARTICULAR PURPOSE.
// //
@ -10,12 +18,14 @@
// of this file, are permitted under one of the following two license terms: // of this file, are permitted under one of the following two license terms:
// //
// 1. The GNU General Public License version 2 as published by the // 1. The GNU General Public License version 2 as published by the
// Free Software Foundation, which can be found in the top level directory of // Free Software Foundation, which can be found in the top level directory
// the repository (LICENSE_GPL2), and at: <https://www.gnu.org/licenses/old-licenses/gpl-2.0.html> // of this repository (LICENSE_GPL2), and also online at:
// <https://www.gnu.org/licenses/old-licenses/gpl-2.0.html>
// //
// OR // OR
// //
// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: // 2. An ADI specific BSD license, which can be found in the top level directory
// of this repository (LICENSE_ADIBSD), and also on-line at:
// https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD // https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD
// This will allow to generate bit files and not release the source code, // This will allow to generate bit files and not release the source code,
// as long as it attaches to an ADI device. // as long as it attaches to an ADI device.

View File

@ -2,7 +2,15 @@
// *************************************************************************** // ***************************************************************************
// Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved. // Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved.
// //
// This core is distributed in the hope that it will be useful, but WITHOUT ANY // In this HDL repository, there are many different and unique modules, consisting
// of various HDL (Verilog or VHDL) components. The individual modules are
// developed independently, and may be accompanied by separate and unique license
// terms.
//
// The user should read each of these license terms, and understand the
// freedoms and responsabilities that he or she has by using this source/core.
//
// This core is distributed in the hope that it will be useful, but WITHOUT ANY
// WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR // WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR
// A PARTICULAR PURPOSE. // A PARTICULAR PURPOSE.
// //
@ -10,12 +18,14 @@
// of this file, are permitted under one of the following two license terms: // of this file, are permitted under one of the following two license terms:
// //
// 1. The GNU General Public License version 2 as published by the // 1. The GNU General Public License version 2 as published by the
// Free Software Foundation, which can be found in the top level directory of // Free Software Foundation, which can be found in the top level directory
// the repository (LICENSE_GPL2), and at: <https://www.gnu.org/licenses/old-licenses/gpl-2.0.html> // of this repository (LICENSE_GPL2), and also online at:
// <https://www.gnu.org/licenses/old-licenses/gpl-2.0.html>
// //
// OR // OR
// //
// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: // 2. An ADI specific BSD license, which can be found in the top level directory
// of this repository (LICENSE_ADIBSD), and also on-line at:
// https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD // https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD
// This will allow to generate bit files and not release the source code, // This will allow to generate bit files and not release the source code,
// as long as it attaches to an ADI device. // as long as it attaches to an ADI device.

View File

@ -2,7 +2,15 @@
// *************************************************************************** // ***************************************************************************
// Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved. // Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved.
// //
// This core is distributed in the hope that it will be useful, but WITHOUT ANY // In this HDL repository, there are many different and unique modules, consisting
// of various HDL (Verilog or VHDL) components. The individual modules are
// developed independently, and may be accompanied by separate and unique license
// terms.
//
// The user should read each of these license terms, and understand the
// freedoms and responsabilities that he or she has by using this source/core.
//
// This core is distributed in the hope that it will be useful, but WITHOUT ANY
// WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR // WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR
// A PARTICULAR PURPOSE. // A PARTICULAR PURPOSE.
// //
@ -10,12 +18,14 @@
// of this file, are permitted under one of the following two license terms: // of this file, are permitted under one of the following two license terms:
// //
// 1. The GNU General Public License version 2 as published by the // 1. The GNU General Public License version 2 as published by the
// Free Software Foundation, which can be found in the top level directory of // Free Software Foundation, which can be found in the top level directory
// the repository (LICENSE_GPL2), and at: <https://www.gnu.org/licenses/old-licenses/gpl-2.0.html> // of this repository (LICENSE_GPL2), and also online at:
// <https://www.gnu.org/licenses/old-licenses/gpl-2.0.html>
// //
// OR // OR
// //
// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: // 2. An ADI specific BSD license, which can be found in the top level directory
// of this repository (LICENSE_ADIBSD), and also on-line at:
// https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD // https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD
// This will allow to generate bit files and not release the source code, // This will allow to generate bit files and not release the source code,
// as long as it attaches to an ADI device. // as long as it attaches to an ADI device.

View File

@ -2,7 +2,15 @@
// *************************************************************************** // ***************************************************************************
// Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved. // Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved.
// //
// This core is distributed in the hope that it will be useful, but WITHOUT ANY // In this HDL repository, there are many different and unique modules, consisting
// of various HDL (Verilog or VHDL) components. The individual modules are
// developed independently, and may be accompanied by separate and unique license
// terms.
//
// The user should read each of these license terms, and understand the
// freedoms and responsabilities that he or she has by using this source/core.
//
// This core is distributed in the hope that it will be useful, but WITHOUT ANY
// WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR // WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR
// A PARTICULAR PURPOSE. // A PARTICULAR PURPOSE.
// //
@ -10,12 +18,14 @@
// of this file, are permitted under one of the following two license terms: // of this file, are permitted under one of the following two license terms:
// //
// 1. The GNU General Public License version 2 as published by the // 1. The GNU General Public License version 2 as published by the
// Free Software Foundation, which can be found in the top level directory of // Free Software Foundation, which can be found in the top level directory
// the repository (LICENSE_GPL2), and at: <https://www.gnu.org/licenses/old-licenses/gpl-2.0.html> // of this repository (LICENSE_GPL2), and also online at:
// <https://www.gnu.org/licenses/old-licenses/gpl-2.0.html>
// //
// OR // OR
// //
// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: // 2. An ADI specific BSD license, which can be found in the top level directory
// of this repository (LICENSE_ADIBSD), and also on-line at:
// https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD // https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD
// This will allow to generate bit files and not release the source code, // This will allow to generate bit files and not release the source code,
// as long as it attaches to an ADI device. // as long as it attaches to an ADI device.

View File

@ -2,7 +2,15 @@
// *************************************************************************** // ***************************************************************************
// Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved. // Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved.
// //
// This core is distributed in the hope that it will be useful, but WITHOUT ANY // In this HDL repository, there are many different and unique modules, consisting
// of various HDL (Verilog or VHDL) components. The individual modules are
// developed independently, and may be accompanied by separate and unique license
// terms.
//
// The user should read each of these license terms, and understand the
// freedoms and responsabilities that he or she has by using this source/core.
//
// This core is distributed in the hope that it will be useful, but WITHOUT ANY
// WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR // WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR
// A PARTICULAR PURPOSE. // A PARTICULAR PURPOSE.
// //
@ -10,12 +18,14 @@
// of this file, are permitted under one of the following two license terms: // of this file, are permitted under one of the following two license terms:
// //
// 1. The GNU General Public License version 2 as published by the // 1. The GNU General Public License version 2 as published by the
// Free Software Foundation, which can be found in the top level directory of // Free Software Foundation, which can be found in the top level directory
// the repository (LICENSE_GPL2), and at: <https://www.gnu.org/licenses/old-licenses/gpl-2.0.html> // of this repository (LICENSE_GPL2), and also online at:
// <https://www.gnu.org/licenses/old-licenses/gpl-2.0.html>
// //
// OR // OR
// //
// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: // 2. An ADI specific BSD license, which can be found in the top level directory
// of this repository (LICENSE_ADIBSD), and also on-line at:
// https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD // https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD
// This will allow to generate bit files and not release the source code, // This will allow to generate bit files and not release the source code,
// as long as it attaches to an ADI device. // as long as it attaches to an ADI device.

View File

@ -2,7 +2,15 @@
// *************************************************************************** // ***************************************************************************
// Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved. // Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved.
// //
// This core is distributed in the hope that it will be useful, but WITHOUT ANY // In this HDL repository, there are many different and unique modules, consisting
// of various HDL (Verilog or VHDL) components. The individual modules are
// developed independently, and may be accompanied by separate and unique license
// terms.
//
// The user should read each of these license terms, and understand the
// freedoms and responsabilities that he or she has by using this source/core.
//
// This core is distributed in the hope that it will be useful, but WITHOUT ANY
// WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR // WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR
// A PARTICULAR PURPOSE. // A PARTICULAR PURPOSE.
// //
@ -10,12 +18,14 @@
// of this file, are permitted under one of the following two license terms: // of this file, are permitted under one of the following two license terms:
// //
// 1. The GNU General Public License version 2 as published by the // 1. The GNU General Public License version 2 as published by the
// Free Software Foundation, which can be found in the top level directory of // Free Software Foundation, which can be found in the top level directory
// the repository (LICENSE_GPL2), and at: <https://www.gnu.org/licenses/old-licenses/gpl-2.0.html> // of this repository (LICENSE_GPL2), and also online at:
// <https://www.gnu.org/licenses/old-licenses/gpl-2.0.html>
// //
// OR // OR
// //
// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: // 2. An ADI specific BSD license, which can be found in the top level directory
// of this repository (LICENSE_ADIBSD), and also on-line at:
// https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD // https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD
// This will allow to generate bit files and not release the source code, // This will allow to generate bit files and not release the source code,
// as long as it attaches to an ADI device. // as long as it attaches to an ADI device.

View File

@ -2,7 +2,15 @@
// *************************************************************************** // ***************************************************************************
// Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved. // Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved.
// //
// This core is distributed in the hope that it will be useful, but WITHOUT ANY // In this HDL repository, there are many different and unique modules, consisting
// of various HDL (Verilog or VHDL) components. The individual modules are
// developed independently, and may be accompanied by separate and unique license
// terms.
//
// The user should read each of these license terms, and understand the
// freedoms and responsabilities that he or she has by using this source/core.
//
// This core is distributed in the hope that it will be useful, but WITHOUT ANY
// WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR // WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR
// A PARTICULAR PURPOSE. // A PARTICULAR PURPOSE.
// //
@ -10,12 +18,14 @@
// of this file, are permitted under one of the following two license terms: // of this file, are permitted under one of the following two license terms:
// //
// 1. The GNU General Public License version 2 as published by the // 1. The GNU General Public License version 2 as published by the
// Free Software Foundation, which can be found in the top level directory of // Free Software Foundation, which can be found in the top level directory
// the repository (LICENSE_GPL2), and at: <https://www.gnu.org/licenses/old-licenses/gpl-2.0.html> // of this repository (LICENSE_GPL2), and also online at:
// <https://www.gnu.org/licenses/old-licenses/gpl-2.0.html>
// //
// OR // OR
// //
// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: // 2. An ADI specific BSD license, which can be found in the top level directory
// of this repository (LICENSE_ADIBSD), and also on-line at:
// https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD // https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD
// This will allow to generate bit files and not release the source code, // This will allow to generate bit files and not release the source code,
// as long as it attaches to an ADI device. // as long as it attaches to an ADI device.

View File

@ -2,7 +2,15 @@
// *************************************************************************** // ***************************************************************************
// Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved. // Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved.
// //
// This core is distributed in the hope that it will be useful, but WITHOUT ANY // In this HDL repository, there are many different and unique modules, consisting
// of various HDL (Verilog or VHDL) components. The individual modules are
// developed independently, and may be accompanied by separate and unique license
// terms.
//
// The user should read each of these license terms, and understand the
// freedoms and responsabilities that he or she has by using this source/core.
//
// This core is distributed in the hope that it will be useful, but WITHOUT ANY
// WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR // WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR
// A PARTICULAR PURPOSE. // A PARTICULAR PURPOSE.
// //
@ -10,12 +18,14 @@
// of this file, are permitted under one of the following two license terms: // of this file, are permitted under one of the following two license terms:
// //
// 1. The GNU General Public License version 2 as published by the // 1. The GNU General Public License version 2 as published by the
// Free Software Foundation, which can be found in the top level directory of // Free Software Foundation, which can be found in the top level directory
// the repository (LICENSE_GPL2), and at: <https://www.gnu.org/licenses/old-licenses/gpl-2.0.html> // of this repository (LICENSE_GPL2), and also online at:
// <https://www.gnu.org/licenses/old-licenses/gpl-2.0.html>
// //
// OR // OR
// //
// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: // 2. An ADI specific BSD license, which can be found in the top level directory
// of this repository (LICENSE_ADIBSD), and also on-line at:
// https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD // https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD
// This will allow to generate bit files and not release the source code, // This will allow to generate bit files and not release the source code,
// as long as it attaches to an ADI device. // as long as it attaches to an ADI device.

View File

@ -2,7 +2,15 @@
// *************************************************************************** // ***************************************************************************
// Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved. // Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved.
// //
// This core is distributed in the hope that it will be useful, but WITHOUT ANY // In this HDL repository, there are many different and unique modules, consisting
// of various HDL (Verilog or VHDL) components. The individual modules are
// developed independently, and may be accompanied by separate and unique license
// terms.
//
// The user should read each of these license terms, and understand the
// freedoms and responsabilities that he or she has by using this source/core.
//
// This core is distributed in the hope that it will be useful, but WITHOUT ANY
// WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR // WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR
// A PARTICULAR PURPOSE. // A PARTICULAR PURPOSE.
// //
@ -10,12 +18,14 @@
// of this file, are permitted under one of the following two license terms: // of this file, are permitted under one of the following two license terms:
// //
// 1. The GNU General Public License version 2 as published by the // 1. The GNU General Public License version 2 as published by the
// Free Software Foundation, which can be found in the top level directory of // Free Software Foundation, which can be found in the top level directory
// the repository (LICENSE_GPL2), and at: <https://www.gnu.org/licenses/old-licenses/gpl-2.0.html> // of this repository (LICENSE_GPL2), and also online at:
// <https://www.gnu.org/licenses/old-licenses/gpl-2.0.html>
// //
// OR // OR
// //
// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: // 2. An ADI specific BSD license, which can be found in the top level directory
// of this repository (LICENSE_ADIBSD), and also on-line at:
// https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD // https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD
// This will allow to generate bit files and not release the source code, // This will allow to generate bit files and not release the source code,
// as long as it attaches to an ADI device. // as long as it attaches to an ADI device.

View File

@ -2,7 +2,15 @@
// *************************************************************************** // ***************************************************************************
// Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved. // Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved.
// //
// This core is distributed in the hope that it will be useful, but WITHOUT ANY // In this HDL repository, there are many different and unique modules, consisting
// of various HDL (Verilog or VHDL) components. The individual modules are
// developed independently, and may be accompanied by separate and unique license
// terms.
//
// The user should read each of these license terms, and understand the
// freedoms and responsabilities that he or she has by using this source/core.
//
// This core is distributed in the hope that it will be useful, but WITHOUT ANY
// WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR // WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR
// A PARTICULAR PURPOSE. // A PARTICULAR PURPOSE.
// //
@ -10,12 +18,14 @@
// of this file, are permitted under one of the following two license terms: // of this file, are permitted under one of the following two license terms:
// //
// 1. The GNU General Public License version 2 as published by the // 1. The GNU General Public License version 2 as published by the
// Free Software Foundation, which can be found in the top level directory of // Free Software Foundation, which can be found in the top level directory
// the repository (LICENSE_GPL2), and at: <https://www.gnu.org/licenses/old-licenses/gpl-2.0.html> // of this repository (LICENSE_GPL2), and also online at:
// <https://www.gnu.org/licenses/old-licenses/gpl-2.0.html>
// //
// OR // OR
// //
// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: // 2. An ADI specific BSD license, which can be found in the top level directory
// of this repository (LICENSE_ADIBSD), and also on-line at:
// https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD // https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD
// This will allow to generate bit files and not release the source code, // This will allow to generate bit files and not release the source code,
// as long as it attaches to an ADI device. // as long as it attaches to an ADI device.

View File

@ -2,7 +2,15 @@
// *************************************************************************** // ***************************************************************************
// Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved. // Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved.
// //
// This core is distributed in the hope that it will be useful, but WITHOUT ANY // In this HDL repository, there are many different and unique modules, consisting
// of various HDL (Verilog or VHDL) components. The individual modules are
// developed independently, and may be accompanied by separate and unique license
// terms.
//
// The user should read each of these license terms, and understand the
// freedoms and responsabilities that he or she has by using this source/core.
//
// This core is distributed in the hope that it will be useful, but WITHOUT ANY
// WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR // WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR
// A PARTICULAR PURPOSE. // A PARTICULAR PURPOSE.
// //
@ -10,12 +18,14 @@
// of this file, are permitted under one of the following two license terms: // of this file, are permitted under one of the following two license terms:
// //
// 1. The GNU General Public License version 2 as published by the // 1. The GNU General Public License version 2 as published by the
// Free Software Foundation, which can be found in the top level directory of // Free Software Foundation, which can be found in the top level directory
// the repository (LICENSE_GPL2), and at: <https://www.gnu.org/licenses/old-licenses/gpl-2.0.html> // of this repository (LICENSE_GPL2), and also online at:
// <https://www.gnu.org/licenses/old-licenses/gpl-2.0.html>
// //
// OR // OR
// //
// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: // 2. An ADI specific BSD license, which can be found in the top level directory
// of this repository (LICENSE_ADIBSD), and also on-line at:
// https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD // https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD
// This will allow to generate bit files and not release the source code, // This will allow to generate bit files and not release the source code,
// as long as it attaches to an ADI device. // as long as it attaches to an ADI device.

View File

@ -2,7 +2,15 @@
// *************************************************************************** // ***************************************************************************
// Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved. // Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved.
// //
// This core is distributed in the hope that it will be useful, but WITHOUT ANY // In this HDL repository, there are many different and unique modules, consisting
// of various HDL (Verilog or VHDL) components. The individual modules are
// developed independently, and may be accompanied by separate and unique license
// terms.
//
// The user should read each of these license terms, and understand the
// freedoms and responsabilities that he or she has by using this source/core.
//
// This core is distributed in the hope that it will be useful, but WITHOUT ANY
// WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR // WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR
// A PARTICULAR PURPOSE. // A PARTICULAR PURPOSE.
// //
@ -10,12 +18,14 @@
// of this file, are permitted under one of the following two license terms: // of this file, are permitted under one of the following two license terms:
// //
// 1. The GNU General Public License version 2 as published by the // 1. The GNU General Public License version 2 as published by the
// Free Software Foundation, which can be found in the top level directory of // Free Software Foundation, which can be found in the top level directory
// the repository (LICENSE_GPL2), and at: <https://www.gnu.org/licenses/old-licenses/gpl-2.0.html> // of this repository (LICENSE_GPL2), and also online at:
// <https://www.gnu.org/licenses/old-licenses/gpl-2.0.html>
// //
// OR // OR
// //
// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: // 2. An ADI specific BSD license, which can be found in the top level directory
// of this repository (LICENSE_ADIBSD), and also on-line at:
// https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD // https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD
// This will allow to generate bit files and not release the source code, // This will allow to generate bit files and not release the source code,
// as long as it attaches to an ADI device. // as long as it attaches to an ADI device.

View File

@ -2,7 +2,15 @@
// *************************************************************************** // ***************************************************************************
// Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved. // Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved.
// //
// This core is distributed in the hope that it will be useful, but WITHOUT ANY // In this HDL repository, there are many different and unique modules, consisting
// of various HDL (Verilog or VHDL) components. The individual modules are
// developed independently, and may be accompanied by separate and unique license
// terms.
//
// The user should read each of these license terms, and understand the
// freedoms and responsabilities that he or she has by using this source/core.
//
// This core is distributed in the hope that it will be useful, but WITHOUT ANY
// WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR // WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR
// A PARTICULAR PURPOSE. // A PARTICULAR PURPOSE.
// //
@ -10,12 +18,14 @@
// of this file, are permitted under one of the following two license terms: // of this file, are permitted under one of the following two license terms:
// //
// 1. The GNU General Public License version 2 as published by the // 1. The GNU General Public License version 2 as published by the
// Free Software Foundation, which can be found in the top level directory of // Free Software Foundation, which can be found in the top level directory
// the repository (LICENSE_GPL2), and at: <https://www.gnu.org/licenses/old-licenses/gpl-2.0.html> // of this repository (LICENSE_GPL2), and also online at:
// <https://www.gnu.org/licenses/old-licenses/gpl-2.0.html>
// //
// OR // OR
// //
// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: // 2. An ADI specific BSD license, which can be found in the top level directory
// of this repository (LICENSE_ADIBSD), and also on-line at:
// https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD // https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD
// This will allow to generate bit files and not release the source code, // This will allow to generate bit files and not release the source code,
// as long as it attaches to an ADI device. // as long as it attaches to an ADI device.

View File

@ -2,7 +2,15 @@
// *************************************************************************** // ***************************************************************************
// Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved. // Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved.
// //
// This core is distributed in the hope that it will be useful, but WITHOUT ANY // In this HDL repository, there are many different and unique modules, consisting
// of various HDL (Verilog or VHDL) components. The individual modules are
// developed independently, and may be accompanied by separate and unique license
// terms.
//
// The user should read each of these license terms, and understand the
// freedoms and responsabilities that he or she has by using this source/core.
//
// This core is distributed in the hope that it will be useful, but WITHOUT ANY
// WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR // WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR
// A PARTICULAR PURPOSE. // A PARTICULAR PURPOSE.
// //
@ -10,12 +18,14 @@
// of this file, are permitted under one of the following two license terms: // of this file, are permitted under one of the following two license terms:
// //
// 1. The GNU General Public License version 2 as published by the // 1. The GNU General Public License version 2 as published by the
// Free Software Foundation, which can be found in the top level directory of // Free Software Foundation, which can be found in the top level directory
// the repository (LICENSE_GPL2), and at: <https://www.gnu.org/licenses/old-licenses/gpl-2.0.html> // of this repository (LICENSE_GPL2), and also online at:
// <https://www.gnu.org/licenses/old-licenses/gpl-2.0.html>
// //
// OR // OR
// //
// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: // 2. An ADI specific BSD license, which can be found in the top level directory
// of this repository (LICENSE_ADIBSD), and also on-line at:
// https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD // https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD
// This will allow to generate bit files and not release the source code, // This will allow to generate bit files and not release the source code,
// as long as it attaches to an ADI device. // as long as it attaches to an ADI device.

View File

@ -2,7 +2,15 @@
// *************************************************************************** // ***************************************************************************
// Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved. // Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved.
// //
// This core is distributed in the hope that it will be useful, but WITHOUT ANY // In this HDL repository, there are many different and unique modules, consisting
// of various HDL (Verilog or VHDL) components. The individual modules are
// developed independently, and may be accompanied by separate and unique license
// terms.
//
// The user should read each of these license terms, and understand the
// freedoms and responsabilities that he or she has by using this source/core.
//
// This core is distributed in the hope that it will be useful, but WITHOUT ANY
// WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR // WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR
// A PARTICULAR PURPOSE. // A PARTICULAR PURPOSE.
// //
@ -10,12 +18,14 @@
// of this file, are permitted under one of the following two license terms: // of this file, are permitted under one of the following two license terms:
// //
// 1. The GNU General Public License version 2 as published by the // 1. The GNU General Public License version 2 as published by the
// Free Software Foundation, which can be found in the top level directory of // Free Software Foundation, which can be found in the top level directory
// the repository (LICENSE_GPL2), and at: <https://www.gnu.org/licenses/old-licenses/gpl-2.0.html> // of this repository (LICENSE_GPL2), and also online at:
// <https://www.gnu.org/licenses/old-licenses/gpl-2.0.html>
// //
// OR // OR
// //
// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: // 2. An ADI specific BSD license, which can be found in the top level directory
// of this repository (LICENSE_ADIBSD), and also on-line at:
// https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD // https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD
// This will allow to generate bit files and not release the source code, // This will allow to generate bit files and not release the source code,
// as long as it attaches to an ADI device. // as long as it attaches to an ADI device.

View File

@ -2,7 +2,15 @@
// *************************************************************************** // ***************************************************************************
// Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved. // Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved.
// //
// This core is distributed in the hope that it will be useful, but WITHOUT ANY // In this HDL repository, there are many different and unique modules, consisting
// of various HDL (Verilog or VHDL) components. The individual modules are
// developed independently, and may be accompanied by separate and unique license
// terms.
//
// The user should read each of these license terms, and understand the
// freedoms and responsabilities that he or she has by using this source/core.
//
// This core is distributed in the hope that it will be useful, but WITHOUT ANY
// WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR // WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR
// A PARTICULAR PURPOSE. // A PARTICULAR PURPOSE.
// //
@ -10,12 +18,14 @@
// of this file, are permitted under one of the following two license terms: // of this file, are permitted under one of the following two license terms:
// //
// 1. The GNU General Public License version 2 as published by the // 1. The GNU General Public License version 2 as published by the
// Free Software Foundation, which can be found in the top level directory of // Free Software Foundation, which can be found in the top level directory
// the repository (LICENSE_GPL2), and at: <https://www.gnu.org/licenses/old-licenses/gpl-2.0.html> // of this repository (LICENSE_GPL2), and also online at:
// <https://www.gnu.org/licenses/old-licenses/gpl-2.0.html>
// //
// OR // OR
// //
// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: // 2. An ADI specific BSD license, which can be found in the top level directory
// of this repository (LICENSE_ADIBSD), and also on-line at:
// https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD // https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD
// This will allow to generate bit files and not release the source code, // This will allow to generate bit files and not release the source code,
// as long as it attaches to an ADI device. // as long as it attaches to an ADI device.

View File

@ -2,7 +2,15 @@
// *************************************************************************** // ***************************************************************************
// Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved. // Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved.
// //
// This core is distributed in the hope that it will be useful, but WITHOUT ANY // In this HDL repository, there are many different and unique modules, consisting
// of various HDL (Verilog or VHDL) components. The individual modules are
// developed independently, and may be accompanied by separate and unique license
// terms.
//
// The user should read each of these license terms, and understand the
// freedoms and responsabilities that he or she has by using this source/core.
//
// This core is distributed in the hope that it will be useful, but WITHOUT ANY
// WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR // WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR
// A PARTICULAR PURPOSE. // A PARTICULAR PURPOSE.
// //
@ -10,12 +18,14 @@
// of this file, are permitted under one of the following two license terms: // of this file, are permitted under one of the following two license terms:
// //
// 1. The GNU General Public License version 2 as published by the // 1. The GNU General Public License version 2 as published by the
// Free Software Foundation, which can be found in the top level directory of // Free Software Foundation, which can be found in the top level directory
// the repository (LICENSE_GPL2), and at: <https://www.gnu.org/licenses/old-licenses/gpl-2.0.html> // of this repository (LICENSE_GPL2), and also online at:
// <https://www.gnu.org/licenses/old-licenses/gpl-2.0.html>
// //
// OR // OR
// //
// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: // 2. An ADI specific BSD license, which can be found in the top level directory
// of this repository (LICENSE_ADIBSD), and also on-line at:
// https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD // https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD
// This will allow to generate bit files and not release the source code, // This will allow to generate bit files and not release the source code,
// as long as it attaches to an ADI device. // as long as it attaches to an ADI device.

View File

@ -2,7 +2,15 @@
// *************************************************************************** // ***************************************************************************
// Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved. // Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved.
// //
// This core is distributed in the hope that it will be useful, but WITHOUT ANY // In this HDL repository, there are many different and unique modules, consisting
// of various HDL (Verilog or VHDL) components. The individual modules are
// developed independently, and may be accompanied by separate and unique license
// terms.
//
// The user should read each of these license terms, and understand the
// freedoms and responsabilities that he or she has by using this source/core.
//
// This core is distributed in the hope that it will be useful, but WITHOUT ANY
// WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR // WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR
// A PARTICULAR PURPOSE. // A PARTICULAR PURPOSE.
// //
@ -10,12 +18,14 @@
// of this file, are permitted under one of the following two license terms: // of this file, are permitted under one of the following two license terms:
// //
// 1. The GNU General Public License version 2 as published by the // 1. The GNU General Public License version 2 as published by the
// Free Software Foundation, which can be found in the top level directory of // Free Software Foundation, which can be found in the top level directory
// the repository (LICENSE_GPL2), and at: <https://www.gnu.org/licenses/old-licenses/gpl-2.0.html> // of this repository (LICENSE_GPL2), and also online at:
// <https://www.gnu.org/licenses/old-licenses/gpl-2.0.html>
// //
// OR // OR
// //
// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: // 2. An ADI specific BSD license, which can be found in the top level directory
// of this repository (LICENSE_ADIBSD), and also on-line at:
// https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD // https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD
// This will allow to generate bit files and not release the source code, // This will allow to generate bit files and not release the source code,
// as long as it attaches to an ADI device. // as long as it attaches to an ADI device.

View File

@ -2,7 +2,15 @@
// *************************************************************************** // ***************************************************************************
// Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved. // Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved.
// //
// This core is distributed in the hope that it will be useful, but WITHOUT ANY // In this HDL repository, there are many different and unique modules, consisting
// of various HDL (Verilog or VHDL) components. The individual modules are
// developed independently, and may be accompanied by separate and unique license
// terms.
//
// The user should read each of these license terms, and understand the
// freedoms and responsabilities that he or she has by using this source/core.
//
// This core is distributed in the hope that it will be useful, but WITHOUT ANY
// WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR // WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR
// A PARTICULAR PURPOSE. // A PARTICULAR PURPOSE.
// //
@ -10,12 +18,14 @@
// of this file, are permitted under one of the following two license terms: // of this file, are permitted under one of the following two license terms:
// //
// 1. The GNU General Public License version 2 as published by the // 1. The GNU General Public License version 2 as published by the
// Free Software Foundation, which can be found in the top level directory of // Free Software Foundation, which can be found in the top level directory
// the repository (LICENSE_GPL2), and at: <https://www.gnu.org/licenses/old-licenses/gpl-2.0.html> // of this repository (LICENSE_GPL2), and also online at:
// <https://www.gnu.org/licenses/old-licenses/gpl-2.0.html>
// //
// OR // OR
// //
// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: // 2. An ADI specific BSD license, which can be found in the top level directory
// of this repository (LICENSE_ADIBSD), and also on-line at:
// https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD // https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD
// This will allow to generate bit files and not release the source code, // This will allow to generate bit files and not release the source code,
// as long as it attaches to an ADI device. // as long as it attaches to an ADI device.

View File

@ -2,7 +2,15 @@
// *************************************************************************** // ***************************************************************************
// Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved. // Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved.
// //
// This core is distributed in the hope that it will be useful, but WITHOUT ANY // In this HDL repository, there are many different and unique modules, consisting
// of various HDL (Verilog or VHDL) components. The individual modules are
// developed independently, and may be accompanied by separate and unique license
// terms.
//
// The user should read each of these license terms, and understand the
// freedoms and responsabilities that he or she has by using this source/core.
//
// This core is distributed in the hope that it will be useful, but WITHOUT ANY
// WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR // WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR
// A PARTICULAR PURPOSE. // A PARTICULAR PURPOSE.
// //
@ -10,12 +18,14 @@
// of this file, are permitted under one of the following two license terms: // of this file, are permitted under one of the following two license terms:
// //
// 1. The GNU General Public License version 2 as published by the // 1. The GNU General Public License version 2 as published by the
// Free Software Foundation, which can be found in the top level directory of // Free Software Foundation, which can be found in the top level directory
// the repository (LICENSE_GPL2), and at: <https://www.gnu.org/licenses/old-licenses/gpl-2.0.html> // of this repository (LICENSE_GPL2), and also online at:
// <https://www.gnu.org/licenses/old-licenses/gpl-2.0.html>
// //
// OR // OR
// //
// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: // 2. An ADI specific BSD license, which can be found in the top level directory
// of this repository (LICENSE_ADIBSD), and also on-line at:
// https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD // https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD
// This will allow to generate bit files and not release the source code, // This will allow to generate bit files and not release the source code,
// as long as it attaches to an ADI device. // as long as it attaches to an ADI device.

View File

@ -2,7 +2,15 @@
// *************************************************************************** // ***************************************************************************
// Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved. // Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved.
// //
// This core is distributed in the hope that it will be useful, but WITHOUT ANY // In this HDL repository, there are many different and unique modules, consisting
// of various HDL (Verilog or VHDL) components. The individual modules are
// developed independently, and may be accompanied by separate and unique license
// terms.
//
// The user should read each of these license terms, and understand the
// freedoms and responsabilities that he or she has by using this source/core.
//
// This core is distributed in the hope that it will be useful, but WITHOUT ANY
// WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR // WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR
// A PARTICULAR PURPOSE. // A PARTICULAR PURPOSE.
// //
@ -10,12 +18,14 @@
// of this file, are permitted under one of the following two license terms: // of this file, are permitted under one of the following two license terms:
// //
// 1. The GNU General Public License version 2 as published by the // 1. The GNU General Public License version 2 as published by the
// Free Software Foundation, which can be found in the top level directory of // Free Software Foundation, which can be found in the top level directory
// the repository (LICENSE_GPL2), and at: <https://www.gnu.org/licenses/old-licenses/gpl-2.0.html> // of this repository (LICENSE_GPL2), and also online at:
// <https://www.gnu.org/licenses/old-licenses/gpl-2.0.html>
// //
// OR // OR
// //
// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: // 2. An ADI specific BSD license, which can be found in the top level directory
// of this repository (LICENSE_ADIBSD), and also on-line at:
// https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD // https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD
// This will allow to generate bit files and not release the source code, // This will allow to generate bit files and not release the source code,
// as long as it attaches to an ADI device. // as long as it attaches to an ADI device.

View File

@ -2,7 +2,15 @@
// *************************************************************************** // ***************************************************************************
// Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved. // Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved.
// //
// This core is distributed in the hope that it will be useful, but WITHOUT ANY // In this HDL repository, there are many different and unique modules, consisting
// of various HDL (Verilog or VHDL) components. The individual modules are
// developed independently, and may be accompanied by separate and unique license
// terms.
//
// The user should read each of these license terms, and understand the
// freedoms and responsabilities that he or she has by using this source/core.
//
// This core is distributed in the hope that it will be useful, but WITHOUT ANY
// WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR // WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR
// A PARTICULAR PURPOSE. // A PARTICULAR PURPOSE.
// //
@ -10,12 +18,14 @@
// of this file, are permitted under one of the following two license terms: // of this file, are permitted under one of the following two license terms:
// //
// 1. The GNU General Public License version 2 as published by the // 1. The GNU General Public License version 2 as published by the
// Free Software Foundation, which can be found in the top level directory of // Free Software Foundation, which can be found in the top level directory
// the repository (LICENSE_GPL2), and at: <https://www.gnu.org/licenses/old-licenses/gpl-2.0.html> // of this repository (LICENSE_GPL2), and also online at:
// <https://www.gnu.org/licenses/old-licenses/gpl-2.0.html>
// //
// OR // OR
// //
// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: // 2. An ADI specific BSD license, which can be found in the top level directory
// of this repository (LICENSE_ADIBSD), and also on-line at:
// https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD // https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD
// This will allow to generate bit files and not release the source code, // This will allow to generate bit files and not release the source code,
// as long as it attaches to an ADI device. // as long as it attaches to an ADI device.

Some files were not shown because too many files have changed in this diff Show More