fmcadc2: Updated VC707 project
parent
e764f54426
commit
848b51699c
|
@ -23,8 +23,8 @@ M_DEPS += ../../../library/axi_ad9625/axi_ad9625.xpr
|
|||
M_DEPS += ../../../library/axi_dmac/axi_dmac.xpr
|
||||
M_DEPS += ../../../library/axi_jesd_gt/axi_jesd_gt.xpr
|
||||
M_DEPS += ../../../library/util_adcfifo/util_adcfifo.xpr
|
||||
M_DEPS += ../../../library/util_bsplit/util_bsplit.xpr
|
||||
M_DEPS += ../../../library/util_dacfifo/util_dacfifo.xpr
|
||||
M_DEPS += ../../../library/util_jesd_gt/util_jesd_gt.xpr
|
||||
|
||||
M_VIVADO := vivado -mode batch -source
|
||||
|
||||
|
@ -54,8 +54,8 @@ clean-all:clean
|
|||
make -C ../../../library/axi_dmac clean
|
||||
make -C ../../../library/axi_jesd_gt clean
|
||||
make -C ../../../library/util_adcfifo clean
|
||||
make -C ../../../library/util_bsplit clean
|
||||
make -C ../../../library/util_dacfifo clean
|
||||
make -C ../../../library/util_jesd_gt clean
|
||||
|
||||
|
||||
fmcadc2_vc707.sdk/system_top.hdf: $(M_DEPS)
|
||||
|
@ -68,8 +68,8 @@ lib:
|
|||
make -C ../../../library/axi_dmac
|
||||
make -C ../../../library/axi_jesd_gt
|
||||
make -C ../../../library/util_adcfifo
|
||||
make -C ../../../library/util_bsplit
|
||||
make -C ../../../library/util_dacfifo
|
||||
make -C ../../../library/util_jesd_gt
|
||||
|
||||
####################################################################################
|
||||
####################################################################################
|
||||
|
|
|
@ -38,5 +38,3 @@ set_property -dict {PACKAGE_PIN L42 IOSTANDARD LVCMOS18} [get_ports adc_fd]
|
|||
# clocks
|
||||
|
||||
create_clock -name rx_ref_clk -period 1.60 [get_ports rx_ref_clk_p]
|
||||
create_clock -name rx_div_clk -period 6.40 [get_pins i_system_wrapper/system_i/axi_ad9625_gt/inst/g_lane_1[0].i_gt_channel_1/i_gtxe2_channel/RXOUTCLK]
|
||||
|
||||
|
|
Loading…
Reference in New Issue