fmcomms7: initial updates

main
Rejeesh Kutty 2014-11-07 15:17:06 -05:00
parent c72a04cecf
commit 82048866dd
1 changed files with 6 additions and 1 deletions

View File

@ -420,7 +420,7 @@ module system_top (
.IB (tx_sync_n), .IB (tx_sync_n),
.O (tx_sync)); .O (tx_sync));
daq2_spi i_spi ( fmcomms7_spi i_spi (
.spi_csn (spi_csn), .spi_csn (spi_csn),
.spi_clk (spi_clk), .spi_clk (spi_clk),
.spi_mosi (spi_mosi), .spi_mosi (spi_mosi),
@ -435,6 +435,11 @@ module system_top (
assign gpio_i[43] = trig; assign gpio_i[43] = trig;
assign spi_adf4355_1_csn = spi2_csn[0];
ad_iobuf #(.DATA_WIDTH(24)) i_iobuf ( ad_iobuf #(.DATA_WIDTH(24)) i_iobuf (
.dt ({gpio_t[42:40], gpio_t[38], gpio_t[36:32], gpio_t[14:0]}), .dt ({gpio_t[42:40], gpio_t[38], gpio_t[36:32], gpio_t[14:0]}),
.di ({gpio_o[42:40], gpio_o[38], gpio_o[36:32], gpio_o[14:0]}), .di ({gpio_o[42:40], gpio_o[38], gpio_o[36:32], gpio_o[14:0]}),