make updates

main
Rejeesh Kutty 2017-03-20 16:05:18 -04:00
parent c7351f3ce3
commit 8063ba2b66
41 changed files with 262 additions and 149 deletions

View File

@ -10,79 +10,79 @@ all: lib
clean:
make -C axi_ad6676 clean
make -C axi_ad7616 clean
make -C axi_ad9122 clean
make -C axi_ad9144 clean
make -C axi_ad9152 clean
make -C axi_ad9162 clean
make -C axi_ad9234 clean
make -C axi_ad9250 clean
make -C axi_ad9265 clean
make -C axi_ad9361 clean
make -C axi_ad9371 clean
make -C axi_ad9434 clean
make -C axi_ad9467 clean
make -C axi_ad9625 clean
make -C axi_ad9643 clean
make -C axi_ad9652 clean
make -C axi_ad9671 clean
make -C axi_ad9680 clean
make -C axi_ad9684 clean
make -C axi_ad9739a clean
make -C axi_ad9963 clean
make -C axi_adc_decimate clean
make -C axi_adc_trigger clean
make -C axi_clkgen clean
make -C axi_dac_interpolate clean
make -C axi_dmac clean
make -C axi_generic_adc clean
make -C axi_gpreg clean
make -C axi_hdmi_rx clean
make -C axi_hdmi_tx clean
make -C axi_i2s_adi clean
make -C axi_intr_monitor clean
make -C axi_logic_analyzer clean
make -C axi_mc_controller clean
make -C axi_mc_current_monitor clean
make -C axi_mc_speed clean
make -C axi_spdif_rx clean
make -C axi_spdif_tx clean
make -C axi_usb_fx3 clean
make -C cn0363/cn0363_dma_sequencer clean
make -C cn0363/cn0363_phase_data_sync clean
make -C cordic_demod clean
make -C spi_engine/axi_spi_engine clean
make -C spi_engine/spi_engine_execution clean
make -C spi_engine/spi_engine_interconnect clean
make -C spi_engine/spi_engine_offload clean
make -C util_adcfifo clean
make -C util_axis_fifo clean
make -C util_axis_resize clean
make -C util_bsplit clean
make -C util_ccat clean
make -C util_clkdiv clean
make -C axi_ad9467 clean
make -C axi_generic_adc clean
make -C axi_ad9144 clean
make -C axi_i2s_adi clean
make -C util_wfifo clean
make -C cn0363/cn0363_phase_data_sync clean
make -C cn0363/cn0363_dma_sequencer clean
make -C axi_ad9625 clean
make -C axi_mc_controller clean
make -C axi_ad9234 clean
make -C util_cpack clean
make -C util_dacfifo clean
make -C util_extract clean
make -C util_fir_dec clean
make -C util_fir_int clean
make -C axi_hdmi_tx clean
make -C axi_ad9152 clean
make -C util_upack clean
make -C axi_ad6676 clean
make -C util_gmii_to_rgmii clean
make -C axi_ad9643 clean
make -C util_var_fifo clean
make -C axi_ad9434 clean
make -C util_fir_int clean
make -C axi_ad7616 clean
make -C util_i2c_mixer clean
make -C util_mfifo clean
make -C axi_ad9371 clean
make -C util_pmod_adc clean
make -C util_pmod_fmeter clean
make -C axi_spdif_rx clean
make -C axi_mc_current_monitor clean
make -C util_extract clean
make -C util_fir_dec clean
make -C util_mfifo clean
make -C axi_gpreg clean
make -C axi_usb_fx3 clean
make -C axi_dac_interpolate clean
make -C util_axis_fifo clean
make -C axi_ad9652 clean
make -C axi_adc_trigger clean
make -C util_bsplit clean
make -C util_clkdiv clean
make -C axi_ad9265 clean
make -C axi_spdif_tx clean
make -C axi_ad9680 clean
make -C util_tdd_sync clean
make -C axi_logic_analyzer clean
make -C axi_intr_monitor clean
make -C util_dacfifo clean
make -C axi_ad9250 clean
make -C axi_ad9162 clean
make -C axi_ad9361 clean
make -C util_ccat clean
make -C util_rfifo clean
make -C util_sigma_delta_spi clean
make -C util_tdd_sync clean
make -C util_upack clean
make -C util_var_fifo clean
make -C util_wfifo clean
make -C axi_dmac clean
make -C axi_clkgen clean
make -C axi_hdmi_rx clean
make -C xilinx/axi_dacfifo clean
make -C xilinx/axi_adcfifo clean
make -C xilinx/axi_adxcvr clean
make -C xilinx/axi_dacfifo clean
make -C xilinx/axi_xcvrlb clean
make -C xilinx/util_adxcvr clean
make -C axi_mc_speed clean
make -C util_adcfifo clean
make -C util_axis_resize clean
make -C spi_engine/spi_engine_execution clean
make -C spi_engine/spi_engine_offload clean
make -C spi_engine/axi_spi_engine clean
make -C spi_engine/spi_engine_interconnect clean
make -C axi_ad9684 clean
make -C axi_adc_decimate clean
make -C interfaces clean
@ -91,79 +91,79 @@ clean-all:clean
lib:
-make -C axi_ad6676
-make -C axi_ad7616
-make -C axi_ad9122
-make -C axi_ad9144
-make -C axi_ad9152
-make -C axi_ad9162
-make -C axi_ad9234
-make -C axi_ad9250
-make -C axi_ad9265
-make -C axi_ad9361
-make -C axi_ad9371
-make -C axi_ad9434
-make -C axi_ad9467
-make -C axi_ad9625
-make -C axi_ad9643
-make -C axi_ad9652
-make -C axi_ad9671
-make -C axi_ad9680
-make -C axi_ad9684
-make -C axi_ad9739a
-make -C axi_ad9963
-make -C axi_adc_decimate
-make -C axi_adc_trigger
-make -C axi_clkgen
-make -C axi_dac_interpolate
-make -C axi_dmac
-make -C axi_generic_adc
-make -C axi_gpreg
-make -C axi_hdmi_rx
-make -C axi_hdmi_tx
-make -C axi_i2s_adi
-make -C axi_intr_monitor
-make -C axi_logic_analyzer
-make -C axi_mc_controller
-make -C axi_mc_current_monitor
-make -C axi_mc_speed
-make -C axi_spdif_rx
-make -C axi_spdif_tx
-make -C axi_usb_fx3
-make -C cn0363/cn0363_dma_sequencer
-make -C cn0363/cn0363_phase_data_sync
-make -C cordic_demod
-make -C spi_engine/axi_spi_engine
-make -C spi_engine/spi_engine_execution
-make -C spi_engine/spi_engine_interconnect
-make -C spi_engine/spi_engine_offload
-make -C util_adcfifo
-make -C util_axis_fifo
-make -C util_axis_resize
-make -C util_bsplit
-make -C util_ccat
-make -C util_clkdiv
-make -C axi_ad9467
-make -C axi_generic_adc
-make -C axi_ad9144
-make -C axi_i2s_adi
-make -C util_wfifo
-make -C cn0363/cn0363_phase_data_sync
-make -C cn0363/cn0363_dma_sequencer
-make -C axi_ad9625
-make -C axi_mc_controller
-make -C axi_ad9234
-make -C util_cpack
-make -C util_dacfifo
-make -C util_extract
-make -C util_fir_dec
-make -C util_fir_int
-make -C axi_hdmi_tx
-make -C axi_ad9152
-make -C util_upack
-make -C axi_ad6676
-make -C util_gmii_to_rgmii
-make -C axi_ad9643
-make -C util_var_fifo
-make -C axi_ad9434
-make -C util_fir_int
-make -C axi_ad7616
-make -C util_i2c_mixer
-make -C util_mfifo
-make -C axi_ad9371
-make -C util_pmod_adc
-make -C util_pmod_fmeter
-make -C axi_spdif_rx
-make -C axi_mc_current_monitor
-make -C util_extract
-make -C util_fir_dec
-make -C util_mfifo
-make -C axi_gpreg
-make -C axi_usb_fx3
-make -C axi_dac_interpolate
-make -C util_axis_fifo
-make -C axi_ad9652
-make -C axi_adc_trigger
-make -C util_bsplit
-make -C util_clkdiv
-make -C axi_ad9265
-make -C axi_spdif_tx
-make -C axi_ad9680
-make -C util_tdd_sync
-make -C axi_logic_analyzer
-make -C axi_intr_monitor
-make -C util_dacfifo
-make -C axi_ad9250
-make -C axi_ad9162
-make -C axi_ad9361
-make -C util_ccat
-make -C util_rfifo
-make -C util_sigma_delta_spi
-make -C util_tdd_sync
-make -C util_upack
-make -C util_var_fifo
-make -C util_wfifo
-make -C axi_dmac
-make -C axi_clkgen
-make -C axi_hdmi_rx
-make -C xilinx/axi_dacfifo
-make -C xilinx/axi_adcfifo
-make -C xilinx/axi_adxcvr
-make -C xilinx/axi_dacfifo
-make -C xilinx/axi_xcvrlb
-make -C xilinx/util_adxcvr
-make -C axi_mc_speed
-make -C util_adcfifo
-make -C util_axis_resize
-make -C spi_engine/spi_engine_execution
-make -C spi_engine/spi_engine_offload
-make -C spi_engine/axi_spi_engine
-make -C spi_engine/spi_engine_interconnect
-make -C axi_ad9684
-make -C axi_adc_decimate
-make -C interfaces

View File

@ -9,14 +9,13 @@ M_DEPS += ../../common/ad_axis_inf_rx.v
M_DEPS += ../../common/ad_mem_asym.v
M_DEPS += ../../scripts/adi_env.tcl
M_DEPS += ../../scripts/adi_ip.tcl
M_DEPS += ../../util_axis_resize/util_axis_resize.v
M_DEPS += axi_dacfifo.v
M_DEPS += axi_dacfifo_bypass.v
M_DEPS += axi_dacfifo_constr.xdc
M_DEPS += axi_dacfifo_dac.v
M_DEPS += axi_dacfifo_ip.tcl
M_DEPS += axi_dacfifo_rd.v
M_DEPS += axi_dacfifo_wr.v
M_DEPS += axi_dacfifo_bypass.v
M_VIVADO := vivado -mode batch -source

View File

@ -19,6 +19,7 @@ M_DEPS += ../common/adrv9371x_qsys.tcl
M_DEPS += ../../scripts/adi_tquest.tcl
M_DEPS += ../../scripts/adi_env.tcl
M_DEPS += ../../common/altera/sys_gen.tcl
M_DEPS += ../../common/altera/dacfifo_qsys.tcl
M_DEPS += ../../common/a10gx/a10gx_system_qsys.tcl
M_DEPS += ../../common/a10gx/a10gx_system_assign.tcl
M_DEPS += ../../../library/altera/avl_adxcfg/avl_adxcfg.v
@ -28,6 +29,7 @@ M_DEPS += ../../../library/altera/axi_adxcvr/axi_adxcvr.v
M_DEPS += ../../../library/altera/axi_adxcvr/axi_adxcvr_hw.tcl
M_DEPS += ../../../library/altera/axi_adxcvr/axi_adxcvr_up.v
M_DEPS += ../../../library/altera/common/ad_dcfilter.v
M_DEPS += ../../../library/altera/common/ad_mem_asym.v
M_DEPS += ../../../library/altera/common/ad_mul.v
M_DEPS += ../../../library/axi_ad9371/axi_ad9371.v
M_DEPS += ../../../library/axi_ad9371/axi_ad9371_hw.tcl
@ -64,7 +66,7 @@ M_DEPS += ../../../library/common/ad_dds.v
M_DEPS += ../../../library/common/ad_dds_1.v
M_DEPS += ../../../library/common/ad_dds_sine.v
M_DEPS += ../../../library/common/ad_iqcor.v
M_DEPS += ../../../library/common/ad_mem_asym.v
M_DEPS += ../../../library/common/ad_mem.v
M_DEPS += ../../../library/common/ad_rst.v
M_DEPS += ../../../library/common/ad_xcvr_rx_if.v
M_DEPS += ../../../library/common/sync_bits.v
@ -91,6 +93,9 @@ M_DEPS += ../../../library/util_cpack/util_cpack.v
M_DEPS += ../../../library/util_cpack/util_cpack_dsf.v
M_DEPS += ../../../library/util_cpack/util_cpack_hw.tcl
M_DEPS += ../../../library/util_cpack/util_cpack_mux.v
M_DEPS += ../../../library/util_dacfifo/util_dacfifo.v
M_DEPS += ../../../library/util_dacfifo/util_dacfifo_constr.sdc
M_DEPS += ../../../library/util_dacfifo/util_dacfifo_hw.tcl
M_DEPS += ../../../library/util_upack/util_upack.v
M_DEPS += ../../../library/util_upack/util_upack_dmx.v
M_DEPS += ../../../library/util_upack/util_upack_dsf.v

View File

@ -21,6 +21,8 @@ M_DEPS += ../../scripts/adi_env.tcl
M_DEPS += ../../common/altera/sys_gen.tcl
M_DEPS += ../../common/a10soc/a10soc_system_qsys.tcl
M_DEPS += ../../common/a10soc/a10soc_system_assign.tcl
M_DEPS += ../../common/a10soc/a10soc_plddr4_dacfifo_qsys.tcl
M_DEPS += ../../common/a10soc/a10soc_plddr4_assign.tcl
M_DEPS += ../../../library/altera/avl_adxcfg/avl_adxcfg.v
M_DEPS += ../../../library/altera/avl_adxcfg/avl_adxcfg_hw.tcl
M_DEPS += ../../../library/altera/avl_adxcvr/avl_adxcvr_hw.tcl
@ -28,6 +30,7 @@ M_DEPS += ../../../library/altera/axi_adxcvr/axi_adxcvr.v
M_DEPS += ../../../library/altera/axi_adxcvr/axi_adxcvr_hw.tcl
M_DEPS += ../../../library/altera/axi_adxcvr/axi_adxcvr_up.v
M_DEPS += ../../../library/altera/common/ad_dcfilter.v
M_DEPS += ../../../library/altera/common/ad_mem_asym.v
M_DEPS += ../../../library/altera/common/ad_mul.v
M_DEPS += ../../../library/axi_ad9371/axi_ad9371.v
M_DEPS += ../../../library/axi_ad9371/axi_ad9371_hw.tcl
@ -64,7 +67,6 @@ M_DEPS += ../../../library/common/ad_dds.v
M_DEPS += ../../../library/common/ad_dds_1.v
M_DEPS += ../../../library/common/ad_dds_sine.v
M_DEPS += ../../../library/common/ad_iqcor.v
M_DEPS += ../../../library/common/ad_mem_asym.v
M_DEPS += ../../../library/common/ad_rst.v
M_DEPS += ../../../library/common/ad_xcvr_rx_if.v
M_DEPS += ../../../library/common/sync_bits.v
@ -95,6 +97,13 @@ M_DEPS += ../../../library/util_upack/util_upack.v
M_DEPS += ../../../library/util_upack/util_upack_dmx.v
M_DEPS += ../../../library/util_upack/util_upack_dsf.v
M_DEPS += ../../../library/util_upack/util_upack_hw.tcl
M_DEPS += ../../../library/xilinx/axi_dacfifo/axi_dacfifo.v
M_DEPS += ../../../library/xilinx/axi_dacfifo/axi_dacfifo_bypass.v
M_DEPS += ../../../library/xilinx/axi_dacfifo/axi_dacfifo_constr.sdc
M_DEPS += ../../../library/xilinx/axi_dacfifo/axi_dacfifo_dac.v
M_DEPS += ../../../library/xilinx/axi_dacfifo/axi_dacfifo_hw.tcl
M_DEPS += ../../../library/xilinx/axi_dacfifo/axi_dacfifo_rd.v
M_DEPS += ../../../library/xilinx/axi_dacfifo/axi_dacfifo_wr.v
M_ALTERA := quartus_sh --64bit -t

View File

@ -13,11 +13,10 @@ M_DEPS += ../common/adrv9371x_bd.tcl
M_DEPS += ../../scripts/adi_project.tcl
M_DEPS += ../../scripts/adi_env.tcl
M_DEPS += ../../scripts/adi_board.tcl
M_DEPS += ../../common/zc706/zc706_plddr3_dacfifo_bd.tcl
M_DEPS += ../../common/zc706/zc706_plddr3_constr.xdc
M_DEPS += ../../common/zc706/zc706_plddr3_mig.prj
M_DEPS += ../../common/zc706/zc706_system_constr.xdc
M_DEPS += ../../common/zc706/zc706_system_bd.tcl
M_DEPS += ../../common/zc706/zc706_plddr3_dacfifo_bd.tcl
M_DEPS += ../../common/zc706/zc706_plddr3_constr.xdc
M_DEPS += ../../../library/xilinx/common/ad_iobuf.v
M_DEPS += ../../../library/axi_ad9371/axi_ad9371.xpr
M_DEPS += ../../../library/xilinx/axi_adxcvr/axi_adxcvr.xpr

View File

@ -12,10 +12,96 @@ endif
export ALT_NIOS_MMU_ENABLED := $(MMU)
M_DEPS += system_top.v
M_DEPS += system_qsys.tcl
M_DEPS += system_project.tcl
M_DEPS += system_constr.sdc
M_DEPS += ../common/arradio_qsys.tcl
M_DEPS += ../../scripts/adi_tquest.tcl
M_DEPS += ../../scripts/adi_env.tcl
M_DEPS += ../../common/c5soc/c5soc_system_qsys.tcl
M_DEPS += ../../common/c5soc/c5soc_system_assign.tcl
M_DEPS += ../../common/altera/sys_gen.tcl
M_DEPS += ../../../library/altera/common/ad_cmos_out_core_c5.v
M_DEPS += ../../../library/altera/common/ad_dcfilter.v
M_DEPS += ../../../library/altera/common/ad_mul.v
M_DEPS += ../../../library/altera/common/ad_serdes_in_core_c5.v
M_DEPS += ../../../library/altera/common/ad_serdes_out_core_c5.v
M_DEPS += ../../../library/axi_ad9361/altera/axi_ad9361_cmos_if.v
M_DEPS += ../../../library/axi_ad9361/altera/axi_ad9361_lvds_if.v
M_DEPS += ../../../library/axi_ad9361/axi_ad9361.v
M_DEPS += ../../../library/axi_ad9361/axi_ad9361_constr.sdc
M_DEPS += ../../../library/axi_ad9361/axi_ad9361_hw.tcl
M_DEPS += ../../../library/axi_ad9361/axi_ad9361_rx.v
M_DEPS += ../../../library/axi_ad9361/axi_ad9361_rx_channel.v
M_DEPS += ../../../library/axi_ad9361/axi_ad9361_rx_pnmon.v
M_DEPS += ../../../library/axi_ad9361/axi_ad9361_tdd.v
M_DEPS += ../../../library/axi_ad9361/axi_ad9361_tdd_if.v
M_DEPS += ../../../library/axi_ad9361/axi_ad9361_tx.v
M_DEPS += ../../../library/axi_ad9361/axi_ad9361_tx_channel.v
M_DEPS += ../../../library/axi_dmac/2d_transfer.v
M_DEPS += ../../../library/axi_dmac/address_generator.v
M_DEPS += ../../../library/axi_dmac/axi_dmac.v
M_DEPS += ../../../library/axi_dmac/axi_dmac_constr.sdc
M_DEPS += ../../../library/axi_dmac/axi_dmac_hw.tcl
M_DEPS += ../../../library/axi_dmac/axi_register_slice.v
M_DEPS += ../../../library/axi_dmac/data_mover.v
M_DEPS += ../../../library/axi_dmac/dest_axi_mm.v
M_DEPS += ../../../library/axi_dmac/dest_axi_stream.v
M_DEPS += ../../../library/axi_dmac/dest_fifo_inf.v
M_DEPS += ../../../library/axi_dmac/inc_id.h
M_DEPS += ../../../library/axi_dmac/request_arb.v
M_DEPS += ../../../library/axi_dmac/request_generator.v
M_DEPS += ../../../library/axi_dmac/resp.h
M_DEPS += ../../../library/axi_dmac/response_generator.v
M_DEPS += ../../../library/axi_dmac/response_handler.v
M_DEPS += ../../../library/axi_dmac/splitter.v
M_DEPS += ../../../library/axi_dmac/src_axi_mm.v
M_DEPS += ../../../library/axi_dmac/src_axi_stream.v
M_DEPS += ../../../library/axi_dmac/src_fifo_inf.v
M_DEPS += ../../../library/common/ad_addsub.v
M_DEPS += ../../../library/common/ad_axi_ip_constr.sdc
M_DEPS += ../../../library/common/ad_datafmt.v
M_DEPS += ../../../library/common/ad_dds.v
M_DEPS += ../../../library/common/ad_dds_1.v
M_DEPS += ../../../library/common/ad_dds_sine.v
M_DEPS += ../../../library/common/ad_iqcor.v
M_DEPS += ../../../library/common/ad_mem.v
M_DEPS += ../../../library/common/ad_pnmon.v
M_DEPS += ../../../library/common/ad_rst.v
M_DEPS += ../../../library/common/ad_tdd_control.v
M_DEPS += ../../../library/common/sync_bits.v
M_DEPS += ../../../library/common/sync_gray.v
M_DEPS += ../../../library/common/up_adc_channel.v
M_DEPS += ../../../library/common/up_adc_common.v
M_DEPS += ../../../library/common/up_axi.v
M_DEPS += ../../../library/common/up_clock_mon.v
M_DEPS += ../../../library/common/up_dac_channel.v
M_DEPS += ../../../library/common/up_dac_common.v
M_DEPS += ../../../library/common/up_delay_cntrl.v
M_DEPS += ../../../library/common/up_tdd_cntrl.v
M_DEPS += ../../../library/common/up_xfer_cntrl.v
M_DEPS += ../../../library/common/up_xfer_status.v
M_DEPS += ../../../library/scripts/adi_env.tcl
M_DEPS += ../../../library/scripts/adi_ip_alt.tcl
M_DEPS += ../../../library/util_axis_fifo/address_gray.v
M_DEPS += ../../../library/util_axis_fifo/address_gray_pipelined.v
M_DEPS += ../../../library/util_axis_fifo/address_sync.v
M_DEPS += ../../../library/util_axis_fifo/util_axis_fifo.v
M_DEPS += ../../../library/util_axis_resize/util_axis_resize.v
M_DEPS += ../../../library/util_cpack/util_cpack.v
M_DEPS += ../../../library/util_cpack/util_cpack_dsf.v
M_DEPS += ../../../library/util_cpack/util_cpack_hw.tcl
M_DEPS += ../../../library/util_cpack/util_cpack_mux.v
M_DEPS += ../../../library/util_rfifo/util_rfifo.v
M_DEPS += ../../../library/util_rfifo/util_rfifo_constr.sdc
M_DEPS += ../../../library/util_rfifo/util_rfifo_hw.tcl
M_DEPS += ../../../library/util_upack/util_upack.v
M_DEPS += ../../../library/util_upack/util_upack_dmx.v
M_DEPS += ../../../library/util_upack/util_upack_dsf.v
M_DEPS += ../../../library/util_upack/util_upack_hw.tcl
M_DEPS += ../../../library/util_wfifo/util_wfifo.v
M_DEPS += ../../../library/util_wfifo/util_wfifo_constr.sdc
M_DEPS += ../../../library/util_wfifo/util_wfifo_hw.tcl
M_ALTERA := quartus_sh --64bit -t

View File

@ -22,6 +22,7 @@ M_DEPS += ../../scripts/adi_env.tcl
M_DEPS += ../../common/altera/sys_gen.tcl
M_DEPS += ../../common/a10gx/a10gx_system_qsys.tcl
M_DEPS += ../../common/a10gx/a10gx_system_assign.tcl
M_DEPS += ../../../library/altera/common/ad_mem_asym.v
M_DEPS += ../../../library/altera/common/ad_mul.v
M_DEPS += ../../../library/axi_ad9122/axi_ad9122.v
M_DEPS += ../../../library/axi_ad9122/axi_ad9122_channel.v
@ -61,7 +62,6 @@ M_DEPS += ../../../library/common/ad_datafmt.v
M_DEPS += ../../../library/common/ad_dds.v
M_DEPS += ../../../library/common/ad_dds_1.v
M_DEPS += ../../../library/common/ad_dds_sine.v
M_DEPS += ../../../library/common/ad_mem_asym.v
M_DEPS += ../../../library/common/ad_pnmon.v
M_DEPS += ../../../library/common/ad_rst.v
M_DEPS += ../../../library/common/sync_bits.v

View File

@ -14,11 +14,10 @@ M_DEPS += ../common/daq1_bd.tcl
M_DEPS += ../../scripts/adi_project.tcl
M_DEPS += ../../scripts/adi_env.tcl
M_DEPS += ../../scripts/adi_board.tcl
M_DEPS += ../../common/zc706/zc706_plddr3_adcfifo_bd.tcl
M_DEPS += ../../common/zc706/zc706_plddr3_constr.xdc
M_DEPS += ../../common/zc706/zc706_plddr3_mig.prj
M_DEPS += ../../common/zc706/zc706_system_constr.xdc
M_DEPS += ../../common/zc706/zc706_system_bd.tcl
M_DEPS += ../../common/zc706/zc706_plddr3_constr.xdc
M_DEPS += ../../common/zc706/zc706_plddr3_adcfifo_bd.tcl
M_DEPS += ../../../library/xilinx/common/ad_iobuf.v
M_DEPS += ../../../library/axi_ad9122/axi_ad9122.xpr
M_DEPS += ../../../library/axi_ad9684/axi_ad9684.xpr

View File

@ -28,6 +28,7 @@ M_DEPS += ../../../library/altera/avl_adxcvr/avl_adxcvr_hw.tcl
M_DEPS += ../../../library/altera/axi_adxcvr/axi_adxcvr.v
M_DEPS += ../../../library/altera/axi_adxcvr/axi_adxcvr_hw.tcl
M_DEPS += ../../../library/altera/axi_adxcvr/axi_adxcvr_up.v
M_DEPS += ../../../library/altera/common/ad_mem_asym.v
M_DEPS += ../../../library/altera/common/ad_mul.v
M_DEPS += ../../../library/axi_ad9144/axi_ad9144.v
M_DEPS += ../../../library/axi_ad9144/axi_ad9144_channel.v
@ -65,7 +66,6 @@ M_DEPS += ../../../library/common/ad_datafmt.v
M_DEPS += ../../../library/common/ad_dds.v
M_DEPS += ../../../library/common/ad_dds_1.v
M_DEPS += ../../../library/common/ad_dds_sine.v
M_DEPS += ../../../library/common/ad_mem_asym.v
M_DEPS += ../../../library/common/ad_pnmon.v
M_DEPS += ../../../library/common/ad_rst.v
M_DEPS += ../../../library/common/ad_xcvr_rx_if.v

View File

@ -14,11 +14,10 @@ M_DEPS += ../common/daq2_bd.tcl
M_DEPS += ../../scripts/adi_project.tcl
M_DEPS += ../../scripts/adi_env.tcl
M_DEPS += ../../scripts/adi_board.tcl
M_DEPS += ../../common/zc706/zc706_plddr3_adcfifo_bd.tcl
M_DEPS += ../../common/zc706/zc706_plddr3_constr.xdc
M_DEPS += ../../common/zc706/zc706_plddr3_mig.prj
M_DEPS += ../../common/zc706/zc706_system_constr.xdc
M_DEPS += ../../common/zc706/zc706_system_bd.tcl
M_DEPS += ../../common/zc706/zc706_plddr3_constr.xdc
M_DEPS += ../../common/zc706/zc706_plddr3_adcfifo_bd.tcl
M_DEPS += ../../common/xilinx/dacfifo_bd.tcl
M_DEPS += ../../../library/xilinx/common/ad_iobuf.v
M_DEPS += ../../../library/axi_ad9144/axi_ad9144.xpr

View File

@ -28,6 +28,7 @@ M_DEPS += ../../../library/altera/avl_adxcvr/avl_adxcvr_hw.tcl
M_DEPS += ../../../library/altera/axi_adxcvr/axi_adxcvr.v
M_DEPS += ../../../library/altera/axi_adxcvr/axi_adxcvr_hw.tcl
M_DEPS += ../../../library/altera/axi_adxcvr/axi_adxcvr_up.v
M_DEPS += ../../../library/altera/common/ad_mem_asym.v
M_DEPS += ../../../library/altera/common/ad_mul.v
M_DEPS += ../../../library/axi_ad9152/axi_ad9152.v
M_DEPS += ../../../library/axi_ad9152/axi_ad9152_channel.v
@ -65,7 +66,6 @@ M_DEPS += ../../../library/common/ad_datafmt.v
M_DEPS += ../../../library/common/ad_dds.v
M_DEPS += ../../../library/common/ad_dds_1.v
M_DEPS += ../../../library/common/ad_dds_sine.v
M_DEPS += ../../../library/common/ad_mem_asym.v
M_DEPS += ../../../library/common/ad_pnmon.v
M_DEPS += ../../../library/common/ad_rst.v
M_DEPS += ../../../library/common/ad_xcvr_rx_if.v

View File

@ -14,11 +14,10 @@ M_DEPS += ../common/daq3_bd.tcl
M_DEPS += ../../scripts/adi_project.tcl
M_DEPS += ../../scripts/adi_env.tcl
M_DEPS += ../../scripts/adi_board.tcl
M_DEPS += ../../common/zc706/zc706_plddr3_adcfifo_bd.tcl
M_DEPS += ../../common/zc706/zc706_plddr3_constr.xdc
M_DEPS += ../../common/zc706/zc706_plddr3_mig.prj
M_DEPS += ../../common/zc706/zc706_system_constr.xdc
M_DEPS += ../../common/zc706/zc706_system_bd.tcl
M_DEPS += ../../common/zc706/zc706_plddr3_constr.xdc
M_DEPS += ../../common/zc706/zc706_plddr3_adcfifo_bd.tcl
M_DEPS += ../../common/xilinx/dacfifo_bd.tcl
M_DEPS += ../../../library/xilinx/common/ad_iobuf.v
M_DEPS += ../../../library/axi_ad9152/axi_ad9152.xpr

View File

@ -14,11 +14,10 @@ M_DEPS += ../common/fmcadc2_bd.tcl
M_DEPS += ../../scripts/adi_project.tcl
M_DEPS += ../../scripts/adi_env.tcl
M_DEPS += ../../scripts/adi_board.tcl
M_DEPS += ../../common/zc706/zc706_plddr3_adcfifo_bd.tcl
M_DEPS += ../../common/zc706/zc706_plddr3_constr.xdc
M_DEPS += ../../common/zc706/zc706_plddr3_mig.prj
M_DEPS += ../../common/zc706/zc706_system_constr.xdc
M_DEPS += ../../common/zc706/zc706_system_bd.tcl
M_DEPS += ../../common/zc706/zc706_plddr3_constr.xdc
M_DEPS += ../../common/zc706/zc706_plddr3_adcfifo_bd.tcl
M_DEPS += ../../../library/xilinx/common/ad_lvds_out.v
M_DEPS += ../../../library/xilinx/common/ad_iobuf.v
M_DEPS += ../../../library/common/ad_sysref_gen.v

View File

@ -14,11 +14,10 @@ M_DEPS += ../common/fmcadc4_bd.tcl
M_DEPS += ../../scripts/adi_project.tcl
M_DEPS += ../../scripts/adi_env.tcl
M_DEPS += ../../scripts/adi_board.tcl
M_DEPS += ../../common/zc706/zc706_plddr3_adcfifo_bd.tcl
M_DEPS += ../../common/zc706/zc706_plddr3_constr.xdc
M_DEPS += ../../common/zc706/zc706_plddr3_mig.prj
M_DEPS += ../../common/zc706/zc706_system_constr.xdc
M_DEPS += ../../common/zc706/zc706_system_bd.tcl
M_DEPS += ../../common/zc706/zc706_plddr3_constr.xdc
M_DEPS += ../../common/zc706/zc706_plddr3_adcfifo_bd.tcl
M_DEPS += ../../../library/xilinx/common/ad_iobuf.v
M_DEPS += ../../../library/axi_ad9680/axi_ad9680.xpr
M_DEPS += ../../../library/xilinx/axi_adcfifo/axi_adcfifo.xpr

View File

@ -14,11 +14,10 @@ M_DEPS += ../common/fmcomms11_bd.tcl
M_DEPS += ../../scripts/adi_project.tcl
M_DEPS += ../../scripts/adi_env.tcl
M_DEPS += ../../scripts/adi_board.tcl
M_DEPS += ../../common/zc706/zc706_plddr3_adcfifo_bd.tcl
M_DEPS += ../../common/zc706/zc706_plddr3_constr.xdc
M_DEPS += ../../common/zc706/zc706_plddr3_mig.prj
M_DEPS += ../../common/zc706/zc706_system_constr.xdc
M_DEPS += ../../common/zc706/zc706_system_bd.tcl
M_DEPS += ../../common/zc706/zc706_plddr3_constr.xdc
M_DEPS += ../../common/zc706/zc706_plddr3_adcfifo_bd.tcl
M_DEPS += ../../common/xilinx/dacfifo_bd.tcl
M_DEPS += ../../../library/xilinx/common/ad_iobuf.v
M_DEPS += ../../../library/axi_ad9162/axi_ad9162.xpr

View File

@ -17,6 +17,7 @@ M_DEPS += ../../common/ac701/ac701_system_mig.prj
M_DEPS += ../../common/ac701/ac701_system_constr.xdc
M_DEPS += ../../common/ac701/ac701_system_bd.tcl
M_DEPS += ../../../library/xilinx/common/ad_iobuf.v
M_DEPS += ../../../library/axi_ad9361/axi_ad9361_delay.tcl
M_DEPS += ../../../library/axi_ad9361/axi_ad9361.xpr
M_DEPS += ../../../library/axi_dmac/axi_dmac.xpr
M_DEPS += ../../../library/util_clkdiv/util_clkdiv.xpr

View File

@ -17,6 +17,7 @@ M_DEPS += ../../common/kc705/kc705_system_mig.prj
M_DEPS += ../../common/kc705/kc705_system_constr.xdc
M_DEPS += ../../common/kc705/kc705_system_bd.tcl
M_DEPS += ../../../library/xilinx/common/ad_iobuf.v
M_DEPS += ../../../library/axi_ad9361/axi_ad9361_delay.tcl
M_DEPS += ../../../library/axi_ad9361/axi_ad9361.xpr
M_DEPS += ../../../library/axi_dmac/axi_dmac.xpr
M_DEPS += ../../../library/util_clkdiv/util_clkdiv.xpr

View File

@ -17,6 +17,7 @@ M_DEPS += ../../common/mitx045/mitx045_system_ps7.tcl
M_DEPS += ../../common/mitx045/mitx045_system_constr.xdc
M_DEPS += ../../common/mitx045/mitx045_system_bd.tcl
M_DEPS += ../../../library/xilinx/common/ad_iobuf.v
M_DEPS += ../../../library/axi_ad9361/axi_ad9361_delay.tcl
M_DEPS += ../../../library/axi_ad9361/axi_ad9361.xpr
M_DEPS += ../../../library/axi_clkgen/axi_clkgen.xpr
M_DEPS += ../../../library/axi_dmac/axi_dmac.xpr

View File

@ -17,6 +17,7 @@ M_DEPS += ../../common/vc707/vc707_system_mig.prj
M_DEPS += ../../common/vc707/vc707_system_constr.xdc
M_DEPS += ../../common/vc707/vc707_system_bd.tcl
M_DEPS += ../../../library/xilinx/common/ad_iobuf.v
M_DEPS += ../../../library/axi_ad9361/axi_ad9361_delay.tcl
M_DEPS += ../../../library/axi_ad9361/axi_ad9361.xpr
M_DEPS += ../../../library/axi_dmac/axi_dmac.xpr
M_DEPS += ../../../library/util_clkdiv/util_clkdiv.xpr

View File

@ -16,6 +16,7 @@ M_DEPS += ../../scripts/adi_board.tcl
M_DEPS += ../../common/zc702/zc702_system_constr.xdc
M_DEPS += ../../common/zc702/zc702_system_bd.tcl
M_DEPS += ../../../library/xilinx/common/ad_iobuf.v
M_DEPS += ../../../library/axi_ad9361/axi_ad9361_delay.tcl
M_DEPS += ../../../library/axi_ad9361/axi_ad9361.xpr
M_DEPS += ../../../library/axi_clkgen/axi_clkgen.xpr
M_DEPS += ../../../library/axi_dmac/axi_dmac.xpr

View File

@ -16,6 +16,7 @@ M_DEPS += ../../scripts/adi_board.tcl
M_DEPS += ../../common/zc706/zc706_system_constr.xdc
M_DEPS += ../../common/zc706/zc706_system_bd.tcl
M_DEPS += ../../../library/xilinx/common/ad_iobuf.v
M_DEPS += ../../../library/axi_ad9361/axi_ad9361_delay.tcl
M_DEPS += ../../../library/axi_ad9361/axi_ad9361.xpr
M_DEPS += ../../../library/axi_clkgen/axi_clkgen.xpr
M_DEPS += ../../../library/axi_dmac/axi_dmac.xpr

View File

@ -16,6 +16,7 @@ M_DEPS += ../../scripts/adi_board.tcl
M_DEPS += ../../common/zcu102/zcu102_system_constr.xdc
M_DEPS += ../../common/zcu102/zcu102_system_bd.tcl
M_DEPS += ../../../library/xilinx/common/ad_iobuf.v
M_DEPS += ../../../library/axi_ad9361/axi_ad9361_delay.tcl
M_DEPS += ../../../library/axi_ad9361/axi_ad9361.xpr
M_DEPS += ../../../library/axi_dmac/axi_dmac.xpr
M_DEPS += ../../../library/util_clkdiv/util_clkdiv.xpr

View File

@ -16,6 +16,7 @@ M_DEPS += ../../scripts/adi_board.tcl
M_DEPS += ../../common/zed/zed_system_constr.xdc
M_DEPS += ../../common/zed/zed_system_bd.tcl
M_DEPS += ../../../library/xilinx/common/ad_iobuf.v
M_DEPS += ../../../library/axi_ad9361/axi_ad9361_delay.tcl
M_DEPS += ../../../library/axi_ad9361/axi_ad9361.xpr
M_DEPS += ../../../library/axi_clkgen/axi_clkgen.xpr
M_DEPS += ../../../library/axi_dmac/axi_dmac.xpr

View File

@ -16,6 +16,7 @@ M_DEPS += ../../scripts/adi_board.tcl
M_DEPS += ../../common/zc702/zc702_system_constr.xdc
M_DEPS += ../../common/zc702/zc702_system_bd.tcl
M_DEPS += ../../../library/xilinx/common/ad_iobuf.v
M_DEPS += ../../../library/axi_ad9361/axi_ad9361_delay.tcl
M_DEPS += ../../../library/axi_ad9361/axi_ad9361.xpr
M_DEPS += ../../../library/axi_clkgen/axi_clkgen.xpr
M_DEPS += ../../../library/axi_dmac/axi_dmac.xpr

View File

@ -16,6 +16,7 @@ M_DEPS += ../../scripts/adi_board.tcl
M_DEPS += ../../common/zc706/zc706_system_constr.xdc
M_DEPS += ../../common/zc706/zc706_system_bd.tcl
M_DEPS += ../../../library/xilinx/common/ad_iobuf.v
M_DEPS += ../../../library/axi_ad9361/axi_ad9361_delay.tcl
M_DEPS += ../../../library/axi_ad9361/axi_ad9361.xpr
M_DEPS += ../../../library/axi_clkgen/axi_clkgen.xpr
M_DEPS += ../../../library/axi_dmac/axi_dmac.xpr

View File

@ -16,6 +16,7 @@ M_DEPS += ../../scripts/adi_board.tcl
M_DEPS += ../../common/zcu102/zcu102_system_constr.xdc
M_DEPS += ../../common/zcu102/zcu102_system_bd.tcl
M_DEPS += ../../../library/xilinx/common/ad_iobuf.v
M_DEPS += ../../../library/axi_ad9361/axi_ad9361_delay.tcl
M_DEPS += ../../../library/axi_ad9361/axi_ad9361.xpr
M_DEPS += ../../../library/axi_dmac/axi_dmac.xpr
M_DEPS += ../../../library/util_clkdiv/util_clkdiv.xpr

View File

@ -14,11 +14,10 @@ M_DEPS += ../common/fmcomms7_bd.tcl
M_DEPS += ../../scripts/adi_project.tcl
M_DEPS += ../../scripts/adi_env.tcl
M_DEPS += ../../scripts/adi_board.tcl
M_DEPS += ../../common/zc706/zc706_plddr3_adcfifo_bd.tcl
M_DEPS += ../../common/zc706/zc706_plddr3_constr.xdc
M_DEPS += ../../common/zc706/zc706_plddr3_mig.prj
M_DEPS += ../../common/zc706/zc706_system_constr.xdc
M_DEPS += ../../common/zc706/zc706_system_bd.tcl
M_DEPS += ../../common/zc706/zc706_plddr3_constr.xdc
M_DEPS += ../../common/zc706/zc706_plddr3_adcfifo_bd.tcl
M_DEPS += ../../common/xilinx/dacfifo_bd.tcl
M_DEPS += ../../../library/xilinx/common/ad_iobuf.v
M_DEPS += ../../../library/axi_ad9144/axi_ad9144.xpr

View File

@ -13,6 +13,7 @@ M_DEPS += ../scripts/adi_project.tcl
M_DEPS += ../scripts/adi_env.tcl
M_DEPS += ../scripts/adi_board.tcl
M_DEPS += ../../library/xilinx/common/ad_iobuf.v
M_DEPS += ../../library/axi_ad9361/axi_ad9361_delay.tcl
M_DEPS += ../../library/axi_ad9361/axi_ad9361.xpr
M_DEPS += ../../library/axi_dmac/axi_dmac.xpr
M_DEPS += ../../library/util_fir_dec/util_fir_dec.xpr

View File

@ -17,6 +17,7 @@ M_DEPS += ../../scripts/adi_project.tcl
M_DEPS += ../../scripts/adi_env.tcl
M_DEPS += ../../scripts/adi_board.tcl
M_DEPS += ../../../library/xilinx/common/ad_iobuf.v
M_DEPS += ../../../library/axi_ad9361/axi_ad9361_delay.tcl
M_DEPS += ../../../library/axi_ad9361/axi_ad9361.xpr
M_DEPS += ../../../library/axi_dmac/axi_dmac.xpr
M_DEPS += ../../../library/axi_i2s_adi/axi_i2s_adi.xpr

View File

@ -17,6 +17,7 @@ M_DEPS += ../../scripts/adi_project.tcl
M_DEPS += ../../scripts/adi_env.tcl
M_DEPS += ../../scripts/adi_board.tcl
M_DEPS += ../../../library/xilinx/common/ad_iobuf.v
M_DEPS += ../../../library/axi_ad9361/axi_ad9361_delay.tcl
M_DEPS += ../../../library/axi_ad9361/axi_ad9361.xpr
M_DEPS += ../../../library/axi_dmac/axi_dmac.xpr
M_DEPS += ../../../library/axi_gpreg/axi_gpreg.xpr

View File

@ -17,6 +17,7 @@ M_DEPS += ../../scripts/adi_project.tcl
M_DEPS += ../../scripts/adi_env.tcl
M_DEPS += ../../scripts/adi_board.tcl
M_DEPS += ../../../library/xilinx/common/ad_iobuf.v
M_DEPS += ../../../library/axi_ad9361/axi_ad9361_delay.tcl
M_DEPS += ../../../library/axi_ad9361/axi_ad9361.xpr
M_DEPS += ../../../library/axi_dmac/axi_dmac.xpr
M_DEPS += ../../../library/axi_gpreg/axi_gpreg.xpr

View File

@ -17,6 +17,7 @@ M_DEPS += ../../scripts/adi_project.tcl
M_DEPS += ../../scripts/adi_env.tcl
M_DEPS += ../../scripts/adi_board.tcl
M_DEPS += ../../../library/xilinx/common/ad_iobuf.v
M_DEPS += ../../../library/axi_ad9361/axi_ad9361_delay.tcl
M_DEPS += ../../../library/axi_ad9361/axi_ad9361.xpr
M_DEPS += ../../../library/axi_dmac/axi_dmac.xpr
M_DEPS += ../../../library/axi_usb_fx3/axi_usb_fx3.xpr

View File

@ -17,6 +17,7 @@ M_DEPS += ../../scripts/adi_project.tcl
M_DEPS += ../../scripts/adi_env.tcl
M_DEPS += ../../scripts/adi_board.tcl
M_DEPS += ../../../library/xilinx/common/ad_iobuf.v
M_DEPS += ../../../library/axi_ad9361/axi_ad9361_delay.tcl
M_DEPS += ../../../library/axi_ad9361/axi_ad9361.xpr
M_DEPS += ../../../library/axi_dmac/axi_dmac.xpr
M_DEPS += ../../../library/axi_i2s_adi/axi_i2s_adi.xpr

View File

@ -17,6 +17,7 @@ M_DEPS += ../../scripts/adi_project.tcl
M_DEPS += ../../scripts/adi_env.tcl
M_DEPS += ../../scripts/adi_board.tcl
M_DEPS += ../../../library/xilinx/common/ad_iobuf.v
M_DEPS += ../../../library/axi_ad9361/axi_ad9361_delay.tcl
M_DEPS += ../../../library/axi_ad9361/axi_ad9361.xpr
M_DEPS += ../../../library/axi_dmac/axi_dmac.xpr
M_DEPS += ../../../library/axi_gpreg/axi_gpreg.xpr

View File

@ -17,6 +17,7 @@ M_DEPS += ../../scripts/adi_project.tcl
M_DEPS += ../../scripts/adi_env.tcl
M_DEPS += ../../scripts/adi_board.tcl
M_DEPS += ../../../library/xilinx/common/ad_iobuf.v
M_DEPS += ../../../library/axi_ad9361/axi_ad9361_delay.tcl
M_DEPS += ../../../library/axi_ad9361/axi_ad9361.xpr
M_DEPS += ../../../library/axi_dmac/axi_dmac.xpr
M_DEPS += ../../../library/axi_gpreg/axi_gpreg.xpr

View File

@ -17,6 +17,7 @@ M_DEPS += ../../scripts/adi_project.tcl
M_DEPS += ../../scripts/adi_env.tcl
M_DEPS += ../../scripts/adi_board.tcl
M_DEPS += ../../../library/xilinx/common/ad_iobuf.v
M_DEPS += ../../../library/axi_ad9361/axi_ad9361_delay.tcl
M_DEPS += ../../../library/axi_ad9361/axi_ad9361.xpr
M_DEPS += ../../../library/axi_clkgen/axi_clkgen.xpr
M_DEPS += ../../../library/axi_dmac/axi_dmac.xpr

View File

@ -17,6 +17,7 @@ M_DEPS += ../../scripts/adi_project.tcl
M_DEPS += ../../scripts/adi_env.tcl
M_DEPS += ../../scripts/adi_board.tcl
M_DEPS += ../../../library/xilinx/common/ad_iobuf.v
M_DEPS += ../../../library/axi_ad9361/axi_ad9361_delay.tcl
M_DEPS += ../../../library/axi_ad9361/axi_ad9361.xpr
M_DEPS += ../../../library/axi_dmac/axi_dmac.xpr
M_DEPS += ../../../library/util_clkdiv/util_clkdiv.xpr

View File

@ -17,6 +17,7 @@ M_DEPS += ../../scripts/adi_project.tcl
M_DEPS += ../../scripts/adi_env.tcl
M_DEPS += ../../scripts/adi_board.tcl
M_DEPS += ../../../library/xilinx/common/ad_iobuf.v
M_DEPS += ../../../library/axi_ad9361/axi_ad9361_delay.tcl
M_DEPS += ../../../library/axi_ad9361/axi_ad9361.xpr
M_DEPS += ../../../library/axi_dmac/axi_dmac.xpr
M_DEPS += ../../../library/axi_usb_fx3/axi_usb_fx3.xpr

View File

@ -27,6 +27,7 @@ M_DEPS += ../../../library/altera/avl_adxcvr/avl_adxcvr_hw.tcl
M_DEPS += ../../../library/altera/axi_adxcvr/axi_adxcvr.v
M_DEPS += ../../../library/altera/axi_adxcvr/axi_adxcvr_hw.tcl
M_DEPS += ../../../library/altera/axi_adxcvr/axi_adxcvr_up.v
M_DEPS += ../../../library/altera/common/ad_mem_asym.v
M_DEPS += ../../../library/axi_ad9671/axi_ad9671.v
M_DEPS += ../../../library/axi_ad9671/axi_ad9671_channel.v
M_DEPS += ../../../library/axi_ad9671/axi_ad9671_hw.tcl
@ -56,7 +57,6 @@ M_DEPS += ../../../library/common/ad_axi_ip_constr.sdc
M_DEPS += ../../../library/common/ad_axis_inf_rx.v
M_DEPS += ../../../library/common/ad_datafmt.v
M_DEPS += ../../../library/common/ad_mem.v
M_DEPS += ../../../library/common/ad_mem_asym.v
M_DEPS += ../../../library/common/ad_pnmon.v
M_DEPS += ../../../library/common/ad_rst.v
M_DEPS += ../../../library/common/ad_xcvr_rx_if.v

View File

@ -14,11 +14,10 @@ M_DEPS += ../common/usdrx1_bd.tcl
M_DEPS += ../../scripts/adi_project.tcl
M_DEPS += ../../scripts/adi_env.tcl
M_DEPS += ../../scripts/adi_board.tcl
M_DEPS += ../../common/zc706/zc706_plddr3_adcfifo_bd.tcl
M_DEPS += ../../common/zc706/zc706_plddr3_constr.xdc
M_DEPS += ../../common/zc706/zc706_plddr3_mig.prj
M_DEPS += ../../common/zc706/zc706_system_constr.xdc
M_DEPS += ../../common/zc706/zc706_system_bd.tcl
M_DEPS += ../../common/zc706/zc706_plddr3_constr.xdc
M_DEPS += ../../common/zc706/zc706_plddr3_adcfifo_bd.tcl
M_DEPS += ../../../library/xilinx/common/ad_iobuf.v
M_DEPS += ../../../library/common/ad_sysref_gen.v
M_DEPS += ../../../library/axi_ad9671/axi_ad9671.xpr

View File

@ -13,6 +13,7 @@ M_DEPS += ../scripts/adi_project.tcl
M_DEPS += ../scripts/adi_env.tcl
M_DEPS += ../scripts/adi_board.tcl
M_DEPS += ../../library/xilinx/common/ad_iobuf.v
M_DEPS += ../../library/axi_ad9361/axi_ad9361_delay.tcl
M_DEPS += ../../library/axi_ad9361/axi_ad9361.xpr
M_DEPS += ../../library/axi_dmac/axi_dmac.xpr
M_DEPS += ../../library/util_cpack/util_cpack.xpr