diff --git a/library/jesd204/jesd204_rx/jesd204_rx.v b/library/jesd204/jesd204_rx/jesd204_rx.v index 8575d6ef4..c3f254bb1 100755 --- a/library/jesd204/jesd204_rx/jesd204_rx.v +++ b/library/jesd204/jesd204_rx/jesd204_rx.v @@ -399,12 +399,17 @@ for (i = 0; i < NUM_LANES; i = i + 1) begin: gen_lane if(ENABLE_FRAME_ALIGN_CHECK) begin : gen_frame_align_err_thresh always @(posedge clk) begin - if (status_lane_frame_align_err_cnt[8*i+7:8*i] >= cfg_frame_align_err_threshold) begin - frame_align_err_thresh_met[i] <= cgs_ready[i]; - event_frame_alignment_error_per_lane[i] <= ~frame_align_err_thresh_met[i]; - end else begin + if (reset) begin frame_align_err_thresh_met[i] <= 1'b0; event_frame_alignment_error_per_lane[i] <= 1'b0; + end else begin + if (status_lane_frame_align_err_cnt[8*i+7:8*i] >= cfg_frame_align_err_threshold) begin + frame_align_err_thresh_met[i] <= cgs_ready[i]; + event_frame_alignment_error_per_lane[i] <= ~frame_align_err_thresh_met[i]; + end else begin + frame_align_err_thresh_met[i] <= 1'b0; + event_frame_alignment_error_per_lane[i] <= 1'b0; + end end end end