From 78b2ae02a1296ae790657d0c3491ac95a5410603 Mon Sep 17 00:00:00 2001 From: IMoldovan Date: Wed, 7 Oct 2020 11:00:11 +0300 Subject: [PATCH] ad9434_fmc,ad9467_fmc,fmcadc5: Update projects to use ad_iobuf, not IOBUF --- projects/ad9434_fmc/common/ad9434_spi.v | 14 +++++++------- projects/ad9467_fmc/common/ad9467_spi.v | 12 ++++++------ projects/fmcadc5/common/fmcadc5_spi.v | 12 ++++++------ 3 files changed, 19 insertions(+), 19 deletions(-) diff --git a/projects/ad9434_fmc/common/ad9434_spi.v b/projects/ad9434_fmc/common/ad9434_spi.v index 9dc389009..f4d5869a2 100644 --- a/projects/ad9434_fmc/common/ad9434_spi.v +++ b/projects/ad9434_fmc/common/ad9434_spi.v @@ -82,12 +82,12 @@ module ad9434_spi ( end end - // io butter - - IOBUF i_iobuf_sdio ( - .T (spi_enable_s), - .I (spi_mosi), - .O (spi_miso), - .IO (spi_sdio)); + // io buffer + + ad_iobuf #(.DATA_WIDTH(1)) i_iobuf_sdio ( + .dio_t (spi_enable_s), + .dio_i (spi_mosi), + .dio_o (spi_miso), + .dio_p (spi_sdio)); endmodule diff --git a/projects/ad9467_fmc/common/ad9467_spi.v b/projects/ad9467_fmc/common/ad9467_spi.v index c34045932..903b21e27 100644 --- a/projects/ad9467_fmc/common/ad9467_spi.v +++ b/projects/ad9467_fmc/common/ad9467_spi.v @@ -82,13 +82,13 @@ module ad9467_spi ( end end - // io butter + // io buffer - IOBUF i_iobuf_sdio ( - .T (spi_enable_s), - .I (spi_mosi), - .O (spi_miso), - .IO (spi_sdio)); + ad_iobuf #(.DATA_WIDTH(1)) i_iobuf_sdio ( + .dio_t (spi_enable_s), + .dio_i (spi_mosi), + .dio_o (spi_miso), + .dio_p (spi_sdio)); endmodule diff --git a/projects/fmcadc5/common/fmcadc5_spi.v b/projects/fmcadc5/common/fmcadc5_spi.v index 3c3deec60..c9fae65c1 100644 --- a/projects/fmcadc5/common/fmcadc5_spi.v +++ b/projects/fmcadc5/common/fmcadc5_spi.v @@ -85,13 +85,13 @@ module fmcadc5_spi ( end end - // io butter + // io buffer - IOBUF i_iobuf_sdio ( - .T (spi_enable_s), - .I (spi_mosi), - .O (spi_miso), - .IO (spi_sdio)); + ad_iobuf #(.DATA_WIDTH(1)) i_iobuf_sdio ( + .dio_t (spi_enable_s), + .dio_i (spi_mosi), + .dio_o (spi_miso), + .dio_p (spi_sdio)); endmodule