From 74bf4dfb801ba1409ed79a526a6382fcf55f0fce Mon Sep 17 00:00:00 2001 From: Rejeesh Kutty Date: Thu, 17 Nov 2016 10:24:50 -0500 Subject: [PATCH] pzsdr2- gpio- turn-around --- projects/pzsdr2/ccbrk_cmos/system_top.v | 21 ++++++++++++++++----- projects/pzsdr2/ccbrk_lvds/system_top.v | 21 ++++++++++++++++----- projects/pzsdr2/ccfmc_lvds/system_top.v | 22 +++++++++++++++++----- 3 files changed, 49 insertions(+), 15 deletions(-) diff --git a/projects/pzsdr2/ccbrk_cmos/system_top.v b/projects/pzsdr2/ccbrk_cmos/system_top.v index bdaabf177..56fecd808 100644 --- a/projects/pzsdr2/ccbrk_cmos/system_top.v +++ b/projects/pzsdr2/ccbrk_cmos/system_top.v @@ -128,6 +128,21 @@ module system_top ( .O (gt_ref_clk), .ODIV2 ()); + // board gpio - 31-0 + + assign gpio_i[31:20] = gpio_o[31:20]; + + ad_iobuf #(.DATA_WIDTH(20)) i_iobuf_bd ( + .dio_t (gpio_t[19:0]), + .dio_i (gpio_o[19:0]), + .dio_o (gpio_i[19:0]), + .dio_p (gpio_bd)); + + // ad9361 gpio - 63-32 + + assign gpio_i[63:52] = gpio_o[63:52]; + assign gpio_i[50:47] = gpio_o[50:47]; + ad_iobuf #(.DATA_WIDTH(16)) i_iobuf ( .dio_t ({gpio_t[51], gpio_t[46:32]}), .dio_i ({gpio_o[51], gpio_o[46:32]}), @@ -139,11 +154,7 @@ module system_top ( gpio_ctl, // 43:40 gpio_status})); // 39:32 - ad_iobuf #(.DATA_WIDTH(20)) i_iobuf_bd ( - .dio_t (gpio_t[19:0]), - .dio_i (gpio_o[19:0]), - .dio_o (gpio_i[19:0]), - .dio_p (gpio_bd)); + // instantiations system_wrapper i_system_wrapper ( .ddr_addr (ddr_addr), diff --git a/projects/pzsdr2/ccbrk_lvds/system_top.v b/projects/pzsdr2/ccbrk_lvds/system_top.v index 167b4a465..4abf4b607 100644 --- a/projects/pzsdr2/ccbrk_lvds/system_top.v +++ b/projects/pzsdr2/ccbrk_lvds/system_top.v @@ -132,6 +132,21 @@ module system_top ( .O (gt_ref_clk), .ODIV2 ()); + // board gpio - 31-0 + + assign gpio_i[31:20] = gpio_o[31:20]; + + ad_iobuf #(.DATA_WIDTH(20)) i_iobuf_bd ( + .dio_t (gpio_t[19:0]), + .dio_i (gpio_o[19:0]), + .dio_o (gpio_i[19:0]), + .dio_p (gpio_bd)); + + // ad9361 gpio - 63-32 + + assign gpio_i[63:52] = gpio_o[63:52]; + assign gpio_i[50:47] = gpio_o[50:47]; + ad_iobuf #(.DATA_WIDTH(16)) i_iobuf ( .dio_t ({gpio_t[51], gpio_t[46:32]}), .dio_i ({gpio_o[51], gpio_o[46:32]}), @@ -143,11 +158,7 @@ module system_top ( gpio_ctl, // 43:40 gpio_status})); // 39:32 - ad_iobuf #(.DATA_WIDTH(20)) i_iobuf_bd ( - .dio_t (gpio_t[19:0]), - .dio_i (gpio_o[19:0]), - .dio_o (gpio_i[19:0]), - .dio_p (gpio_bd)); + // instantiations system_wrapper i_system_wrapper ( .ddr_addr (ddr_addr), diff --git a/projects/pzsdr2/ccfmc_lvds/system_top.v b/projects/pzsdr2/ccfmc_lvds/system_top.v index 83e24317f..8c8c9f891 100644 --- a/projects/pzsdr2/ccfmc_lvds/system_top.v +++ b/projects/pzsdr2/ccfmc_lvds/system_top.v @@ -188,6 +188,7 @@ module system_top ( assign ad9517_mosi = spi_mosi_s; assign spi_miso_s = (~spi_csn_s[0] & spi_miso) | (~spi_csn_s[1] & ad9517_miso); + // loopback signals assign gp_out[53:0] = gp_out_s[53:0]; assign gp_in_s[63:54] = gp_out_s[63:54]; @@ -225,6 +226,21 @@ module system_top ( .dio_o (tdd_sync_i), .dio_p (tdd_sync)); + // board gpio - 31-0 + + assign gpio_i[31:21] = gpio_o[31:21]; + + ad_iobuf #(.DATA_WIDTH(21)) i_iobuf_bd ( + .dio_t (gpio_t[20:0]), + .dio_i (gpio_o[20:0]), + .dio_o (gpio_i[20:0]), + .dio_p (gpio_bd)); + + // ad9361 gpio - 63-32 + + assign gpio_i[63:62] = gpio_o[63:62]; + assign gpio_i[50:47] = gpio_o[50:47]; + ad_iobuf #(.DATA_WIDTH(26)) i_iobuf ( .dio_t ({gpio_t[61:51], gpio_t[46:32]}), .dio_i ({gpio_o[61:51], gpio_o[46:32]}), @@ -246,11 +262,7 @@ module system_top ( gpio_ctl, // 43:40 gpio_status})); // 39:32 - ad_iobuf #(.DATA_WIDTH(21)) i_iobuf_bd ( - .dio_t (gpio_t[20:0]), - .dio_i (gpio_o[20:0]), - .dio_o (gpio_i[20:0]), - .dio_p (gpio_bd)); + // instantiations system_wrapper i_system_wrapper ( .clk_0 (clk_0),