a5gt: ethernet-fpga lvds mode
parent
3deb55bb98
commit
72f31370ef
|
@ -0,0 +1,116 @@
|
|||
|
||||
load_package flow
|
||||
|
||||
source ../../scripts/adi_env.tcl
|
||||
project_new a5gte -overwrite
|
||||
|
||||
# device settings
|
||||
|
||||
set_global_assignment -name FAMILY "Arria V"
|
||||
set_global_assignment -name DEVICE 5AGTFD7K3F40I3
|
||||
set_global_assignment -name TOP_LEVEL_ENTITY system_top
|
||||
set_global_assignment -name VERILOG_FILE system_top.v
|
||||
|
||||
# fmc fpga interface
|
||||
|
||||
set_location_assignment PIN_R11 -to eth_rx_clk
|
||||
set_location_assignment PIN_T11 -to "eth_rx_clk(n)"
|
||||
set_location_assignment PIN_J11 -to eth_rx_cntrl
|
||||
set_location_assignment PIN_K11 -to "eth_rx_cntrl(n)"
|
||||
set_location_assignment PIN_F12 -to eth_rx_data[0]
|
||||
set_location_assignment PIN_G12 -to "eth_rx_data[0](n)"
|
||||
set_location_assignment PIN_H12 -to eth_rx_data[1]
|
||||
set_location_assignment PIN_J12 -to "eth_rx_data[1](n)"
|
||||
set_location_assignment PIN_M13 -to eth_rx_data[2]
|
||||
set_location_assignment PIN_N13 -to "eth_rx_data[2](n)"
|
||||
set_location_assignment PIN_G13 -to eth_rx_data[3]
|
||||
set_location_assignment PIN_H13 -to "eth_rx_data[3](n)"
|
||||
|
||||
set_instance_assignment -name IO_STANDARD LVDS -to eth_rx_clk
|
||||
set_instance_assignment -name IO_STANDARD LVDS -to eth_rx_cntrl
|
||||
set_instance_assignment -name IO_STANDARD LVDS -to eth_rx_data[0]
|
||||
set_instance_assignment -name IO_STANDARD LVDS -to eth_rx_data[1]
|
||||
set_instance_assignment -name IO_STANDARD LVDS -to eth_rx_data[2]
|
||||
set_instance_assignment -name IO_STANDARD LVDS -to eth_rx_data[3]
|
||||
|
||||
set_location_assignment PIN_E10 -to eth_tx_clk_out
|
||||
set_location_assignment PIN_F10 -to "eth_tx_clk_out(n)"
|
||||
set_location_assignment PIN_P12 -to eth_tx_cntrl
|
||||
set_location_assignment PIN_R12 -to "eth_tx_cntrl(n)"
|
||||
set_location_assignment PIN_M12 -to eth_tx_data[0]
|
||||
set_location_assignment PIN_N12 -to "eth_tx_data[0](n)"
|
||||
set_location_assignment PIN_D12 -to eth_tx_data[1]
|
||||
set_location_assignment PIN_E12 -to "eth_tx_data[1](n)"
|
||||
set_location_assignment PIN_P13 -to eth_tx_data[2]
|
||||
set_location_assignment PIN_R13 -to "eth_tx_data[2](n)"
|
||||
set_location_assignment PIN_D13 -to eth_tx_data[3]
|
||||
set_location_assignment PIN_E13 -to "eth_tx_data[3](n)"
|
||||
|
||||
set_instance_assignment -name IO_STANDARD LVDS -to eth_tx_clk_out
|
||||
set_instance_assignment -name IO_STANDARD LVDS -to eth_tx_cntrl
|
||||
set_instance_assignment -name IO_STANDARD LVDS -to eth_tx_data[0]
|
||||
set_instance_assignment -name IO_STANDARD LVDS -to eth_tx_data[1]
|
||||
set_instance_assignment -name IO_STANDARD LVDS -to eth_tx_data[2]
|
||||
set_instance_assignment -name IO_STANDARD LVDS -to eth_tx_data[3]
|
||||
|
||||
set_instance_assignment -name INPUT_TERMINATION DIFFERENTIAL -to eth_tx_clk_out
|
||||
set_instance_assignment -name INPUT_TERMINATION DIFFERENTIAL -to eth_tx_cntrl
|
||||
set_instance_assignment -name INPUT_TERMINATION DIFFERENTIAL -to eth_tx_data[0]
|
||||
set_instance_assignment -name INPUT_TERMINATION DIFFERENTIAL -to eth_tx_data[1]
|
||||
set_instance_assignment -name INPUT_TERMINATION DIFFERENTIAL -to eth_tx_data[2]
|
||||
set_instance_assignment -name INPUT_TERMINATION DIFFERENTIAL -to eth_tx_data[3]
|
||||
|
||||
set_location_assignment PIN_L15 -to eth_mdc
|
||||
set_location_assignment PIN_M15 -to eth_mdio_i
|
||||
set_location_assignment PIN_N15 -to eth_mdio_o
|
||||
set_location_assignment PIN_P15 -to eth_mdio_t
|
||||
|
||||
set_instance_assignment -name IO_STANDARD "2.5 V" -to eth_mdc
|
||||
set_instance_assignment -name IO_STANDARD "2.5 V" -to eth_mdio_i
|
||||
set_instance_assignment -name IO_STANDARD "2.5 V" -to eth_mdio_o
|
||||
set_instance_assignment -name IO_STANDARD "2.5 V" -to eth_mdio_t
|
||||
|
||||
# phy interface
|
||||
|
||||
set_location_assignment PIN_AK17 -to phy_resetn
|
||||
set_location_assignment PIN_AJ18 -to phy_mdc
|
||||
set_location_assignment PIN_AL17 -to phy_mdio
|
||||
set_location_assignment PIN_AK7 -to phy_rx_clk
|
||||
set_location_assignment PIN_AW17 -to phy_rx_ctrl
|
||||
set_location_assignment PIN_AU17 -to phy_rx_data[0]
|
||||
set_location_assignment PIN_AT17 -to phy_rx_data[1]
|
||||
set_location_assignment PIN_AW16 -to phy_rx_data[2]
|
||||
set_location_assignment PIN_AH18 -to phy_rx_data[3]
|
||||
set_location_assignment PIN_AN16 -to phy_tx_clk_out
|
||||
set_location_assignment PIN_AP19 -to phy_tx_ctrl
|
||||
set_location_assignment PIN_AT19 -to phy_tx_data[0]
|
||||
set_location_assignment PIN_AU18 -to phy_tx_data[1]
|
||||
set_location_assignment PIN_AH19 -to phy_tx_data[2]
|
||||
set_location_assignment PIN_AG19 -to phy_tx_data[3]
|
||||
|
||||
set_instance_assignment -name IO_STANDARD "2.5 V" -to phy_resetn
|
||||
set_instance_assignment -name IO_STANDARD "2.5 V" -to phy_mdc
|
||||
set_instance_assignment -name IO_STANDARD "2.5 V" -to phy_mdio
|
||||
set_instance_assignment -name IO_STANDARD "2.5 V" -to phy_rx_clk
|
||||
set_instance_assignment -name IO_STANDARD "2.5 V" -to phy_rx_ctrl
|
||||
set_instance_assignment -name IO_STANDARD "2.5 V" -to phy_rx_data[0]
|
||||
set_instance_assignment -name IO_STANDARD "2.5 V" -to phy_rx_data[1]
|
||||
set_instance_assignment -name IO_STANDARD "2.5 V" -to phy_rx_data[2]
|
||||
set_instance_assignment -name IO_STANDARD "2.5 V" -to phy_rx_data[3]
|
||||
set_instance_assignment -name IO_STANDARD "2.5 V" -to phy_tx_clk_out
|
||||
set_instance_assignment -name IO_STANDARD "2.5 V" -to phy_tx_ctrl
|
||||
set_instance_assignment -name IO_STANDARD "2.5 V" -to phy_tx_data[0]
|
||||
set_instance_assignment -name IO_STANDARD "2.5 V" -to phy_tx_data[1]
|
||||
set_instance_assignment -name IO_STANDARD "2.5 V" -to phy_tx_data[2]
|
||||
set_instance_assignment -name IO_STANDARD "2.5 V" -to phy_tx_data[3]
|
||||
|
||||
set_global_assignment -name SYNCHRONIZER_IDENTIFICATION AUTO
|
||||
set_global_assignment -name ENABLE_ADVANCED_IO_TIMING ON
|
||||
set_global_assignment -name USE_TIMEQUEST_TIMING_ANALYZER ON
|
||||
set_global_assignment -name TIMEQUEST_DO_REPORT_TIMING ON
|
||||
set_global_assignment -name TIMEQUEST_DO_CCPP_REMOVAL ON
|
||||
set_global_assignment -name TIMEQUEST_REPORT_SCRIPT system_timing.tcl
|
||||
set_global_assignment -name ON_CHIP_BITSTREAM_DECOMPRESSION OFF
|
||||
|
||||
execute_flow -compile
|
||||
|
|
@ -0,0 +1,3 @@
|
|||
|
||||
report_timing -detail path_only -npaths 20 -file timing_impl.log
|
||||
|
|
@ -0,0 +1,111 @@
|
|||
// ***************************************************************************
|
||||
// ***************************************************************************
|
||||
// Copyright 2011(c) Analog Devices, Inc.
|
||||
//
|
||||
// All rights reserved.
|
||||
//
|
||||
// Redistribution and use in source and binary forms, with or without modification,
|
||||
// are permitted provided that the following conditions are met:
|
||||
// - Redistributions of source code must retain the above copyright
|
||||
// notice, this list of conditions and the following disclaimer.
|
||||
// - Redistributions in binary form must reproduce the above copyright
|
||||
// notice, this list of conditions and the following disclaimer in
|
||||
// the documentation and/or other materials provided with the
|
||||
// distribution.
|
||||
// - Neither the name of Analog Devices, Inc. nor the names of its
|
||||
// contributors may be used to endorse or promote products derived
|
||||
// from this software without specific prior written permission.
|
||||
// - The use of this software may or may not infringe the patent rights
|
||||
// of one or more patent holders. This license does not release you
|
||||
// from the requirement that you obtain separate licenses from these
|
||||
// patent holders to use this software.
|
||||
// - Use of the software either in source or binary form, must be run
|
||||
// on or directly connected to an Analog Devices Inc. component.
|
||||
//
|
||||
// THIS SOFTWARE IS PROVIDED BY ANALOG DEVICES "AS IS" AND ANY EXPRESS OR IMPLIED WARRANTIES,
|
||||
// INCLUDING, BUT NOT LIMITED TO, NON-INFRINGEMENT, MERCHANTABILITY AND FITNESS FOR A
|
||||
// PARTICULAR PURPOSE ARE DISCLAIMED.
|
||||
//
|
||||
// IN NO EVENT SHALL ANALOG DEVICES BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL,
|
||||
// EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, INTELLECTUAL PROPERTY
|
||||
// RIGHTS, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR
|
||||
// BUSINESS INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT,
|
||||
// STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF
|
||||
// THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE.
|
||||
// ***************************************************************************
|
||||
// ***************************************************************************
|
||||
|
||||
`timescale 1ns/100ps
|
||||
|
||||
module system_top (
|
||||
|
||||
// fmc fpga interface
|
||||
|
||||
eth_rx_clk,
|
||||
eth_rx_cntrl,
|
||||
eth_rx_data,
|
||||
eth_tx_clk_out,
|
||||
eth_tx_cntrl,
|
||||
eth_tx_data,
|
||||
eth_mdc,
|
||||
eth_mdio_i,
|
||||
eth_mdio_o,
|
||||
eth_mdio_t,
|
||||
|
||||
// phy interface
|
||||
|
||||
phy_resetn,
|
||||
phy_rx_clk,
|
||||
phy_rx_cntrl,
|
||||
phy_rx_data,
|
||||
phy_tx_clk_out,
|
||||
phy_tx_cntrl,
|
||||
phy_tx_data,
|
||||
phy_mdc,
|
||||
phy_mdio);
|
||||
|
||||
// fmc fpga interface
|
||||
|
||||
output eth_rx_clk;
|
||||
output eth_rx_cntrl;
|
||||
output [ 3:0] eth_rx_data;
|
||||
input eth_tx_clk_out;
|
||||
input eth_tx_cntrl;
|
||||
input [ 3:0] eth_tx_data;
|
||||
input eth_mdc;
|
||||
output eth_mdio_i;
|
||||
input eth_mdio_o;
|
||||
input eth_mdio_t;
|
||||
|
||||
// phy interface
|
||||
|
||||
output phy_resetn;
|
||||
input phy_rx_clk;
|
||||
input phy_rx_cntrl;
|
||||
input [ 3:0] phy_rx_data;
|
||||
output phy_tx_clk_out;
|
||||
output phy_tx_cntrl;
|
||||
output [ 3:0] phy_tx_data;
|
||||
output phy_mdc;
|
||||
inout phy_mdio;
|
||||
|
||||
// simple pass through
|
||||
|
||||
assign eth_rx_clk = phy_rx_clk;
|
||||
assign eth_rx_cntrl = phy_rx_cntrl;
|
||||
assign eth_rx_data = phy_rx_data;
|
||||
|
||||
assign phy_tx_clk_out = eth_tx_clk_out;
|
||||
assign phy_tx_cntrl = eth_tx_cntrl;
|
||||
assign phy_tx_data = eth_tx_data;
|
||||
|
||||
assign phy_mdc = eth_mdc;
|
||||
assign phy_mdio = (eth_mdio_t == 1'b0) ? eth_mdio_o : 1'bz;
|
||||
assign eth_mdio_i = phy_mdio;
|
||||
|
||||
assign phy_resetn = 1'b1;
|
||||
|
||||
endmodule
|
||||
|
||||
// ***************************************************************************
|
||||
// ***************************************************************************
|
Loading…
Reference in New Issue