From 7290bcc81aeee6ea287d1e807076679b2d1d3261 Mon Sep 17 00:00:00 2001 From: Rejeesh Kutty Date: Thu, 29 Sep 2016 11:50:48 -0400 Subject: [PATCH] hdlmake- updates --- library/axi_ad9371/Makefile | 1 + library/axi_ad9625/Makefile | 1 + projects/adrv9371x/zc706/Makefile | 12 ++++++------ 3 files changed, 8 insertions(+), 6 deletions(-) diff --git a/library/axi_ad9371/Makefile b/library/axi_ad9371/Makefile index 3dd9fb20c..e27d4464c 100644 --- a/library/axi_ad9371/Makefile +++ b/library/axi_ad9371/Makefile @@ -25,6 +25,7 @@ M_DEPS += ../common/up_adc_common.v M_DEPS += ../common/up_adc_channel.v M_DEPS += ../common/up_dac_common.v M_DEPS += ../common/up_dac_channel.v +M_DEPS += ../common/ad_xcvr_rx_if.v M_DEPS += axi_ad9371_if.v M_DEPS += axi_ad9371_rx_channel.v M_DEPS += axi_ad9371_rx.v diff --git a/library/axi_ad9625/Makefile b/library/axi_ad9625/Makefile index cae11d228..d8ff97721 100644 --- a/library/axi_ad9625/Makefile +++ b/library/axi_ad9625/Makefile @@ -19,6 +19,7 @@ M_DEPS += ../common/up_clock_mon.v M_DEPS += ../common/up_adc_common.v M_DEPS += ../common/up_adc_channel.v M_DEPS += ../common/ad_axi_ip_constr.xdc +M_DEPS += ../common/ad_xcvr_rx_if.v M_DEPS += axi_ad9625_pnmon.v M_DEPS += axi_ad9625_channel.v M_DEPS += axi_ad9625_if.v diff --git a/projects/adrv9371x/zc706/Makefile b/projects/adrv9371x/zc706/Makefile index 31f8999fe..f2145cd19 100644 --- a/projects/adrv9371x/zc706/Makefile +++ b/projects/adrv9371x/zc706/Makefile @@ -20,15 +20,15 @@ M_DEPS += ../../common/zc706/zc706_system_constr.xdc M_DEPS += ../../common/zc706/zc706_system_bd.tcl M_DEPS += ../../../library/xilinx/common/ad_iobuf.v M_DEPS += ../../../library/axi_ad9371/axi_ad9371.xpr +M_DEPS += ../../../library/xilinx/axi_adxcvr/axi_adxcvr.xpr M_DEPS += ../../../library/axi_clkgen/axi_clkgen.xpr M_DEPS += ../../../library/xilinx/axi_dacfifo/axi_dacfifo.xpr M_DEPS += ../../../library/axi_dmac/axi_dmac.xpr M_DEPS += ../../../library/axi_hdmi_tx/axi_hdmi_tx.xpr -M_DEPS += ../../../library/axi_jesd_gt/axi_jesd_gt.xpr M_DEPS += ../../../library/axi_spdif_tx/axi_spdif_tx.xpr +M_DEPS += ../../../library/xilinx/util_adxcvr/util_adxcvr.xpr M_DEPS += ../../../library/util_bsplit/util_bsplit.xpr M_DEPS += ../../../library/util_cpack/util_cpack.xpr -M_DEPS += ../../../library/util_jesd_gt/util_jesd_gt.xpr M_DEPS += ../../../library/util_upack/util_upack.xpr M_VIVADO := vivado -mode batch -source @@ -59,15 +59,15 @@ clean: clean-all:clean make -C ../../../library/axi_ad9371 clean + make -C ../../../library/xilinx/axi_adxcvr clean make -C ../../../library/axi_clkgen clean make -C ../../../library/xilinx/axi_dacfifo clean make -C ../../../library/axi_dmac clean make -C ../../../library/axi_hdmi_tx clean - make -C ../../../library/axi_jesd_gt clean make -C ../../../library/axi_spdif_tx clean + make -C ../../../library/xilinx/util_adxcvr clean make -C ../../../library/util_bsplit clean make -C ../../../library/util_cpack clean - make -C ../../../library/util_jesd_gt clean make -C ../../../library/util_upack clean @@ -78,15 +78,15 @@ adrv9371x_zc706.sdk/system_top.hdf: $(M_DEPS) lib: make -C ../../../library/axi_ad9371 + make -C ../../../library/xilinx/axi_adxcvr make -C ../../../library/axi_clkgen make -C ../../../library/xilinx/axi_dacfifo make -C ../../../library/axi_dmac make -C ../../../library/axi_hdmi_tx - make -C ../../../library/axi_jesd_gt make -C ../../../library/axi_spdif_tx + make -C ../../../library/xilinx/util_adxcvr make -C ../../../library/util_bsplit make -C ../../../library/util_cpack - make -C ../../../library/util_jesd_gt make -C ../../../library/util_upack ####################################################################################