diff --git a/projects/ad40xx_fmc/zed/Makefile b/projects/ad40xx_fmc/zed/Makefile index 749162bdb..8068737fb 100644 --- a/projects/ad40xx_fmc/zed/Makefile +++ b/projects/ad40xx_fmc/zed/Makefile @@ -8,6 +8,7 @@ PROJECT_NAME := ad40xx_fmc_zed M_DEPS += system_constr_adaq400x.xdc M_DEPS += system_constr_ad40xx.xdc M_DEPS += ../common/ad40xx_bd.tcl +M_DEPS += ../../scripts/adi_pd.tcl M_DEPS += ../../common/zed/zed_system_constr.xdc M_DEPS += ../../common/zed/zed_system_bd.tcl M_DEPS += ../../../library/common/ad_iobuf.v diff --git a/projects/ad5758_sdz/zed/Makefile b/projects/ad5758_sdz/zed/Makefile index 2eea446b0..203932cfb 100644 --- a/projects/ad5758_sdz/zed/Makefile +++ b/projects/ad5758_sdz/zed/Makefile @@ -5,6 +5,7 @@ PROJECT_NAME := ad5758_sdz_zed +M_DEPS += ../../scripts/adi_pd.tcl M_DEPS += ../../common/zed/zed_system_constr.xdc M_DEPS += ../../common/zed/zed_system_bd.tcl M_DEPS += ../../../library/common/ad_iobuf.v diff --git a/projects/ad5766_sdz/zed/Makefile b/projects/ad5766_sdz/zed/Makefile index 1b4e4ba51..d34f158a3 100644 --- a/projects/ad5766_sdz/zed/Makefile +++ b/projects/ad5766_sdz/zed/Makefile @@ -6,6 +6,7 @@ PROJECT_NAME := ad5766_sdz_zed M_DEPS += ../common/ad5766_bd.tcl +M_DEPS += ../../scripts/adi_pd.tcl M_DEPS += ../../common/zed/zed_system_constr.xdc M_DEPS += ../../common/zed/zed_system_bd.tcl M_DEPS += ../../../library/common/ad_iobuf.v diff --git a/projects/ad6676evb/vc707/Makefile b/projects/ad6676evb/vc707/Makefile index b9ea0d984..55a80fad2 100644 --- a/projects/ad6676evb/vc707/Makefile +++ b/projects/ad6676evb/vc707/Makefile @@ -6,6 +6,7 @@ PROJECT_NAME := ad6676evb_vc707 M_DEPS += ../common/ad6676evb_bd.tcl +M_DEPS += ../../scripts/adi_pd.tcl M_DEPS += ../../common/vc707/vc707_system_mig.prj M_DEPS += ../../common/vc707/vc707_system_constr.xdc M_DEPS += ../../common/vc707/vc707_system_bd.tcl diff --git a/projects/ad6676evb/zc706/Makefile b/projects/ad6676evb/zc706/Makefile index 17efb8cad..cf90f0974 100644 --- a/projects/ad6676evb/zc706/Makefile +++ b/projects/ad6676evb/zc706/Makefile @@ -6,6 +6,7 @@ PROJECT_NAME := ad6676evb_zc706 M_DEPS += ../common/ad6676evb_bd.tcl +M_DEPS += ../../scripts/adi_pd.tcl M_DEPS += ../../common/zc706/zc706_system_constr.xdc M_DEPS += ../../common/zc706/zc706_system_bd.tcl M_DEPS += ../../../library/jesd204/scripts/jesd204.tcl diff --git a/projects/ad7134_fmc/zed/Makefile b/projects/ad7134_fmc/zed/Makefile index 0f7b7ad84..ee90c376e 100644 --- a/projects/ad7134_fmc/zed/Makefile +++ b/projects/ad7134_fmc/zed/Makefile @@ -6,6 +6,7 @@ PROJECT_NAME := ad7134_fmc_zed M_DEPS += ../common/ad7134_bd.tcl +M_DEPS += ../../scripts/adi_pd.tcl M_DEPS += ../../common/zed/zed_system_constr.xdc M_DEPS += ../../common/zed/zed_system_bd.tcl M_DEPS += ../../../library/common/ad_iobuf.v diff --git a/projects/ad738x_fmc/zed/Makefile b/projects/ad738x_fmc/zed/Makefile index 8847a816e..c910fe2fd 100644 --- a/projects/ad738x_fmc/zed/Makefile +++ b/projects/ad738x_fmc/zed/Makefile @@ -6,6 +6,7 @@ PROJECT_NAME := ad738x_fmc_zed M_DEPS += ../common/ad738x_bd.tcl +M_DEPS += ../../scripts/adi_pd.tcl M_DEPS += ../../common/zed/zed_system_constr.xdc M_DEPS += ../../common/zed/zed_system_bd.tcl M_DEPS += ../../../library/common/ad_iobuf.v diff --git a/projects/ad7405_fmc/zed/Makefile b/projects/ad7405_fmc/zed/Makefile index eca46d0b8..960b34326 100644 --- a/projects/ad7405_fmc/zed/Makefile +++ b/projects/ad7405_fmc/zed/Makefile @@ -8,6 +8,7 @@ PROJECT_NAME := ad7405_fmc_zed M_DEPS += system_constr_singlended.xdc M_DEPS += system_constr_differential.xdc M_DEPS += ../common/ad7405_bd.tcl +M_DEPS += ../../scripts/adi_pd.tcl M_DEPS += ../../common/zed/zed_system_constr.xdc M_DEPS += ../../common/zed/zed_system_bd.tcl M_DEPS += ../../../library/common/ad_iobuf.v diff --git a/projects/ad7616_sdz/zc706/Makefile b/projects/ad7616_sdz/zc706/Makefile index faea1320d..724c81232 100644 --- a/projects/ad7616_sdz/zc706/Makefile +++ b/projects/ad7616_sdz/zc706/Makefile @@ -8,6 +8,7 @@ PROJECT_NAME := ad7616_sdz_zc706 M_DEPS += serial_if_constr.xdc M_DEPS += parallel_if_constr.xdc M_DEPS += ../common/ad7616_bd.tcl +M_DEPS += ../../scripts/adi_pd.tcl M_DEPS += ../../common/zc706/zc706_system_constr.xdc M_DEPS += ../../common/zc706/zc706_system_bd.tcl M_DEPS += ../../../library/common/ad_iobuf.v diff --git a/projects/ad7616_sdz/zed/Makefile b/projects/ad7616_sdz/zed/Makefile index 2e70ba113..0469407f5 100644 --- a/projects/ad7616_sdz/zed/Makefile +++ b/projects/ad7616_sdz/zed/Makefile @@ -8,6 +8,7 @@ PROJECT_NAME := ad7616_sdz_zed M_DEPS += serial_if_constr.xdc M_DEPS += parallel_if_constr.xdc M_DEPS += ../common/ad7616_bd.tcl +M_DEPS += ../../scripts/adi_pd.tcl M_DEPS += ../../common/zed/zed_system_constr.xdc M_DEPS += ../../common/zed/zed_system_bd.tcl M_DEPS += ../../../library/common/ad_iobuf.v diff --git a/projects/ad77681evb/zed/Makefile b/projects/ad77681evb/zed/Makefile index c25c87f85..454b8cf1d 100644 --- a/projects/ad77681evb/zed/Makefile +++ b/projects/ad77681evb/zed/Makefile @@ -6,6 +6,7 @@ PROJECT_NAME := ad77681evb_zed M_DEPS += ../common/ad77681evb_bd.tcl +M_DEPS += ../../scripts/adi_pd.tcl M_DEPS += ../../common/zed/zed_system_constr.xdc M_DEPS += ../../common/zed/zed_system_bd.tcl M_DEPS += ../../../library/xilinx/common/ad_data_clk.v diff --git a/projects/ad7768evb/zed/Makefile b/projects/ad7768evb/zed/Makefile index bfb91733b..99fff1ac5 100644 --- a/projects/ad7768evb/zed/Makefile +++ b/projects/ad7768evb/zed/Makefile @@ -7,6 +7,7 @@ PROJECT_NAME := ad7768evb_zed M_DEPS += ../common/ad7768evb_bd.tcl M_DEPS += ../common/ad7768_if.v +M_DEPS += ../../scripts/adi_pd.tcl M_DEPS += ../../common/zed/zed_system_constr.xdc M_DEPS += ../../common/zed/zed_system_bd.tcl M_DEPS += ../../../library/common/ad_iobuf.v diff --git a/projects/ad9081_fmca_ebz/vcu118/Makefile b/projects/ad9081_fmca_ebz/vcu118/Makefile index ff14ed445..92fe0100c 100644 --- a/projects/ad9081_fmca_ebz/vcu118/Makefile +++ b/projects/ad9081_fmca_ebz/vcu118/Makefile @@ -7,6 +7,7 @@ PROJECT_NAME := ad9081_fmca_ebz_vcu118 M_DEPS += timing_constr.xdc M_DEPS += ../common/ad9081_fmca_ebz_bd.tcl +M_DEPS += ../../scripts/adi_pd.tcl M_DEPS += ../../common/xilinx/dacfifo_bd.tcl M_DEPS += ../../common/xilinx/adcfifo_bd.tcl M_DEPS += ../../common/vcu118/vcu118_system_constr.xdc diff --git a/projects/ad9081_fmca_ebz/zc706/Makefile b/projects/ad9081_fmca_ebz/zc706/Makefile index a2e200f09..c98187fd8 100644 --- a/projects/ad9081_fmca_ebz/zc706/Makefile +++ b/projects/ad9081_fmca_ebz/zc706/Makefile @@ -11,11 +11,14 @@ M_DEPS += ../../common/zc706/zc706_system_constr.xdc M_DEPS += ../../common/zc706/zc706_system_bd.tcl M_DEPS += ../../common/xilinx/dacfifo_bd.tcl M_DEPS += ../../common/xilinx/adcfifo_bd.tcl -M_DEPS += ../../../library/common/ad_iobuf.v M_DEPS += ../../../library/jesd204/scripts/jesd204.tcl +M_DEPS += ../../../library/common/ad_iobuf.v M_DEPS += ../../../library/common/ad_3w_spi.v +LIB_DEPS += axi_clkgen LIB_DEPS += axi_dmac +LIB_DEPS += axi_hdmi_tx +LIB_DEPS += axi_spdif_tx LIB_DEPS += axi_sysid LIB_DEPS += jesd204/ad_ip_jesd204_tpl_adc LIB_DEPS += jesd204/ad_ip_jesd204_tpl_dac diff --git a/projects/ad9081_fmca_ebz/zcu102/Makefile b/projects/ad9081_fmca_ebz/zcu102/Makefile index 422aefbb0..cc3313813 100644 --- a/projects/ad9081_fmca_ebz/zcu102/Makefile +++ b/projects/ad9081_fmca_ebz/zcu102/Makefile @@ -7,6 +7,7 @@ PROJECT_NAME := ad9081_fmca_ebz_zcu102 M_DEPS += timing_constr.xdc M_DEPS += ../common/ad9081_fmca_ebz_bd.tcl +M_DEPS += ../../scripts/adi_pd.tcl M_DEPS += ../../common/zcu102/zcu102_system_constr.xdc M_DEPS += ../../common/zcu102/zcu102_system_bd.tcl M_DEPS += ../../common/xilinx/dacfifo_bd.tcl diff --git a/projects/ad9208_dual_ebz/vcu118/Makefile b/projects/ad9208_dual_ebz/vcu118/Makefile index 42421d6be..7aef9be3f 100644 --- a/projects/ad9208_dual_ebz/vcu118/Makefile +++ b/projects/ad9208_dual_ebz/vcu118/Makefile @@ -6,6 +6,7 @@ PROJECT_NAME := ad9208_dual_ebz_vcu118 M_DEPS += ../common/dual_ad9208_bd.tcl +M_DEPS += ../../scripts/adi_pd.tcl M_DEPS += ../../daq3/common/daq3_spi.v M_DEPS += ../../common/xilinx/adcfifo_bd.tcl M_DEPS += ../../common/vcu118/vcu118_system_constr.xdc diff --git a/projects/ad9265_fmc/zc706/Makefile b/projects/ad9265_fmc/zc706/Makefile index a56e0b8bb..c91183f87 100644 --- a/projects/ad9265_fmc/zc706/Makefile +++ b/projects/ad9265_fmc/zc706/Makefile @@ -7,6 +7,7 @@ PROJECT_NAME := ad9265_fmc_zc706 M_DEPS += ../common/ad9265_spi.v M_DEPS += ../common/ad9265_bd.tcl +M_DEPS += ../../scripts/adi_pd.tcl M_DEPS += ../../common/zc706/zc706_system_constr.xdc M_DEPS += ../../common/zc706/zc706_system_bd.tcl M_DEPS += ../../../library/common/ad_iobuf.v diff --git a/projects/ad9434_fmc/zc706/Makefile b/projects/ad9434_fmc/zc706/Makefile index 44e4ef68b..b546626dd 100644 --- a/projects/ad9434_fmc/zc706/Makefile +++ b/projects/ad9434_fmc/zc706/Makefile @@ -7,6 +7,7 @@ PROJECT_NAME := ad9434_fmc_zc706 M_DEPS += ../common/ad9434_spi.v M_DEPS += ../common/ad9434_bd.tcl +M_DEPS += ../../scripts/adi_pd.tcl M_DEPS += ../../common/zc706/zc706_system_constr.xdc M_DEPS += ../../common/zc706/zc706_system_bd.tcl M_DEPS += ../../../library/common/ad_iobuf.v diff --git a/projects/ad9467_fmc/kc705/Makefile b/projects/ad9467_fmc/kc705/Makefile index 1099be24f..606fc9319 100644 --- a/projects/ad9467_fmc/kc705/Makefile +++ b/projects/ad9467_fmc/kc705/Makefile @@ -7,6 +7,7 @@ PROJECT_NAME := ad9467_fmc_kc705 M_DEPS += ../common/ad9467_spi.v M_DEPS += ../common/ad9467_bd.tcl +M_DEPS += ../../scripts/adi_pd.tcl M_DEPS += ../../common/kc705/kc705_system_mig.prj M_DEPS += ../../common/kc705/kc705_system_constr.xdc M_DEPS += ../../common/kc705/kc705_system_bd.tcl diff --git a/projects/ad9467_fmc/zed/Makefile b/projects/ad9467_fmc/zed/Makefile index 80f7c1fa3..72595f8cc 100644 --- a/projects/ad9467_fmc/zed/Makefile +++ b/projects/ad9467_fmc/zed/Makefile @@ -7,6 +7,7 @@ PROJECT_NAME := ad9467_fmc_zed M_DEPS += ../common/ad9467_spi.v M_DEPS += ../common/ad9467_bd.tcl +M_DEPS += ../../scripts/adi_pd.tcl M_DEPS += ../../common/zed/zed_system_constr.xdc M_DEPS += ../../common/zed/zed_system_bd.tcl M_DEPS += ../../../library/common/ad_iobuf.v diff --git a/projects/ad9656_fmc/zcu102/Makefile b/projects/ad9656_fmc/zcu102/Makefile index 0897d2159..b22642c97 100644 --- a/projects/ad9656_fmc/zcu102/Makefile +++ b/projects/ad9656_fmc/zcu102/Makefile @@ -6,6 +6,7 @@ PROJECT_NAME := ad9656_fmc_zcu102 M_DEPS += ../common/ad9656_fmc_bd.tcl +M_DEPS += ../../scripts/adi_pd.tcl M_DEPS += ../../common/zcu102/zcu102_system_constr.xdc M_DEPS += ../../common/zcu102/zcu102_system_bd.tcl M_DEPS += ../../../library/jesd204/scripts/jesd204.tcl diff --git a/projects/ad9739a_fmc/zc706/Makefile b/projects/ad9739a_fmc/zc706/Makefile index f9b78bd4d..67193f989 100644 --- a/projects/ad9739a_fmc/zc706/Makefile +++ b/projects/ad9739a_fmc/zc706/Makefile @@ -6,6 +6,7 @@ PROJECT_NAME := ad9739a_fmc_zc706 M_DEPS += ../common/ad9739a_fmc_bd.tcl +M_DEPS += ../../scripts/adi_pd.tcl M_DEPS += ../../common/zc706/zc706_system_constr.xdc M_DEPS += ../../common/zc706/zc706_system_bd.tcl M_DEPS += ../../../library/common/ad_iobuf.v diff --git a/projects/ad_fmclidar1_ebz/a10soc/Makefile b/projects/ad_fmclidar1_ebz/a10soc/Makefile index 1f4b0e270..e4df1e9eb 100644 --- a/projects/ad_fmclidar1_ebz/a10soc/Makefile +++ b/projects/ad_fmclidar1_ebz/a10soc/Makefile @@ -8,7 +8,7 @@ PROJECT_NAME := ad_fmclidar1_ebz_a10soc M_DEPS += ../common/util_tia_chsel.v M_DEPS += ../common/util_axis_syncgen.v M_DEPS += ../common/ad_fmclidar1_ebz_qsys.tcl -M_DEPS += ../../scripts/adi_pd_intel.tcl +M_DEPS += ../../scripts/adi_pd.tcl M_DEPS += ../../common/a10soc/a10soc_system_qsys.tcl M_DEPS += ../../common/a10soc/a10soc_system_assign.tcl M_DEPS += ../../../library/util_cdc/sync_bits.v diff --git a/projects/ad_fmclidar1_ebz/zc706/Makefile b/projects/ad_fmclidar1_ebz/zc706/Makefile index 7f428b848..a0148978a 100644 --- a/projects/ad_fmclidar1_ebz/zc706/Makefile +++ b/projects/ad_fmclidar1_ebz/zc706/Makefile @@ -8,6 +8,7 @@ PROJECT_NAME := ad_fmclidar1_ebz_zc706 M_DEPS += ../common/util_tia_chsel.v M_DEPS += ../common/util_axis_syncgen.v M_DEPS += ../common/ad_fmclidar1_ebz_bd.tcl +M_DEPS += ../../scripts/adi_pd.tcl M_DEPS += ../../common/zc706/zc706_system_constr.xdc M_DEPS += ../../common/zc706/zc706_system_bd.tcl M_DEPS += ../../../library/util_cdc/sync_bits.v diff --git a/projects/ad_fmclidar1_ebz/zcu102/Makefile b/projects/ad_fmclidar1_ebz/zcu102/Makefile index bb6313e70..606ecf97b 100644 --- a/projects/ad_fmclidar1_ebz/zcu102/Makefile +++ b/projects/ad_fmclidar1_ebz/zcu102/Makefile @@ -8,6 +8,7 @@ PROJECT_NAME := ad_fmclidar1_ebz_zcu102 M_DEPS += ../common/util_tia_chsel.v M_DEPS += ../common/util_axis_syncgen.v M_DEPS += ../common/ad_fmclidar1_ebz_bd.tcl +M_DEPS += ../../scripts/adi_pd.tcl M_DEPS += ../../common/zcu102/zcu102_system_constr.xdc M_DEPS += ../../common/zcu102/zcu102_system_bd.tcl M_DEPS += ../../../library/util_cdc/sync_bits.v diff --git a/projects/adaq7980_sdz/zed/Makefile b/projects/adaq7980_sdz/zed/Makefile index d345f8556..c410ba982 100644 --- a/projects/adaq7980_sdz/zed/Makefile +++ b/projects/adaq7980_sdz/zed/Makefile @@ -6,6 +6,7 @@ PROJECT_NAME := adaq7980_sdz_zed M_DEPS += ../common/adaq7980_bd.tcl +M_DEPS += ../../scripts/adi_pd.tcl M_DEPS += ../../common/zed/zed_system_constr.xdc M_DEPS += ../../common/zed/zed_system_bd.tcl M_DEPS += ../../../library/common/ad_iobuf.v diff --git a/projects/adrv9001/zcu102/Makefile b/projects/adrv9001/zcu102/Makefile index fbae78975..a7fff25c5 100644 --- a/projects/adrv9001/zcu102/Makefile +++ b/projects/adrv9001/zcu102/Makefile @@ -8,6 +8,7 @@ PROJECT_NAME := adrv9001_zcu102 M_DEPS += lvds_constr.xdc M_DEPS += cmos_constr.xdc M_DEPS += ../common/adrv9001_bd.tcl +M_DEPS += ../../scripts/adi_pd.tcl M_DEPS += ../../common/zcu102/zcu102_system_constr.xdc M_DEPS += ../../common/zcu102/zcu102_system_bd.tcl M_DEPS += ../../../library/common/ad_iobuf.v diff --git a/projects/adrv9001/zed/Makefile b/projects/adrv9001/zed/Makefile index 6c36be548..d107abc20 100644 --- a/projects/adrv9001/zed/Makefile +++ b/projects/adrv9001/zed/Makefile @@ -7,6 +7,7 @@ PROJECT_NAME := adrv9001_zed M_DEPS += cmos_constr.xdc M_DEPS += ../common/adrv9001_bd.tcl +M_DEPS += ../../scripts/adi_pd.tcl M_DEPS += ../../common/zed/zed_system_constr.xdc M_DEPS += ../../common/zed/zed_system_bd.tcl M_DEPS += ../../../library/common/ad_iobuf.v diff --git a/projects/adrv9009/a10gx/Makefile b/projects/adrv9009/a10gx/Makefile index 7ce8dc86b..883c710b7 100644 --- a/projects/adrv9009/a10gx/Makefile +++ b/projects/adrv9009/a10gx/Makefile @@ -6,7 +6,7 @@ PROJECT_NAME := adrv9009_a10gx M_DEPS += ../common/adrv9009_qsys.tcl -M_DEPS += ../../scripts/adi_pd_intel.tcl +M_DEPS += ../../scripts/adi_pd.tcl M_DEPS += ../../common/intel/dacfifo_qsys.tcl M_DEPS += ../../common/a10gx/a10gx_system_qsys.tcl M_DEPS += ../../common/a10gx/a10gx_system_assign.tcl diff --git a/projects/adrv9009/a10soc/Makefile b/projects/adrv9009/a10soc/Makefile index 46c588f27..9a8f392e8 100755 --- a/projects/adrv9009/a10soc/Makefile +++ b/projects/adrv9009/a10soc/Makefile @@ -6,7 +6,7 @@ PROJECT_NAME := adrv9009_a10soc M_DEPS += ../common/adrv9009_qsys.tcl -M_DEPS += ../../scripts/adi_pd_intel.tcl +M_DEPS += ../../scripts/adi_pd.tcl M_DEPS += ../../common/a10soc/a10soc_system_qsys.tcl M_DEPS += ../../common/a10soc/a10soc_system_assign.tcl M_DEPS += ../../common/a10soc/a10soc_plddr4_dacfifo_qsys.tcl diff --git a/projects/adrv9009/zc706/Makefile b/projects/adrv9009/zc706/Makefile index 167173fc8..afeb44441 100644 --- a/projects/adrv9009/zc706/Makefile +++ b/projects/adrv9009/zc706/Makefile @@ -6,6 +6,7 @@ PROJECT_NAME := adrv9009_zc706 M_DEPS += ../common/adrv9009_bd.tcl +M_DEPS += ../../scripts/adi_pd.tcl M_DEPS += ../../common/zc706/zc706_system_constr.xdc M_DEPS += ../../common/zc706/zc706_system_bd.tcl M_DEPS += ../../common/zc706/zc706_plddr3_dacfifo_bd.tcl diff --git a/projects/adrv9009/zcu102/Makefile b/projects/adrv9009/zcu102/Makefile index 1f6c66dfb..42e6a4f89 100644 --- a/projects/adrv9009/zcu102/Makefile +++ b/projects/adrv9009/zcu102/Makefile @@ -6,6 +6,7 @@ PROJECT_NAME := adrv9009_zcu102 M_DEPS += ../common/adrv9009_bd.tcl +M_DEPS += ../../scripts/adi_pd.tcl M_DEPS += ../../common/zcu102/zcu102_system_constr.xdc M_DEPS += ../../common/zcu102/zcu102_system_bd.tcl M_DEPS += ../../common/xilinx/dacfifo_bd.tcl diff --git a/projects/adrv9009zu11eg/adrv2crr_fmc/Makefile b/projects/adrv9009zu11eg/adrv2crr_fmc/Makefile index 055b7e562..c1d41c7bf 100644 --- a/projects/adrv9009zu11eg/adrv2crr_fmc/Makefile +++ b/projects/adrv9009zu11eg/adrv2crr_fmc/Makefile @@ -10,6 +10,7 @@ M_DEPS += ../common/adrv9009zu11eg_constr.xdc M_DEPS += ../common/adrv9009zu11eg_bd.tcl M_DEPS += ../common/adrv2crr_fmc_constr.xdc M_DEPS += ../common/adrv2crr_fmc_bd.tcl +M_DEPS += ../../scripts/adi_pd.tcl M_DEPS += ../../../library/jesd204/scripts/jesd204.tcl M_DEPS += ../../../library/common/ad_iobuf.v diff --git a/projects/adrv9009zu11eg/adrv2crr_fmcomms8/Makefile b/projects/adrv9009zu11eg/adrv2crr_fmcomms8/Makefile index 6e37eec2c..586733035 100644 --- a/projects/adrv9009zu11eg/adrv2crr_fmcomms8/Makefile +++ b/projects/adrv9009zu11eg/adrv2crr_fmcomms8/Makefile @@ -11,6 +11,7 @@ M_DEPS += ../common/adrv9009zu11eg_constr.xdc M_DEPS += ../common/adrv9009zu11eg_bd.tcl M_DEPS += ../common/adrv2crr_fmc_constr.xdc M_DEPS += ../common/adrv2crr_fmc_bd.tcl +M_DEPS += ../../scripts/adi_pd.tcl M_DEPS += ../../../library/jesd204/scripts/jesd204.tcl M_DEPS += ../../../library/common/ad_iobuf.v diff --git a/projects/adrv9361z7035/ccbob_cmos/Makefile b/projects/adrv9361z7035/ccbob_cmos/Makefile index c38880c58..6c2a84f77 100644 --- a/projects/adrv9361z7035/ccbob_cmos/Makefile +++ b/projects/adrv9361z7035/ccbob_cmos/Makefile @@ -10,6 +10,7 @@ M_DEPS += ../common/ccbob_bd.tcl M_DEPS += ../common/adrv9361z7035_constr_cmos.xdc M_DEPS += ../common/adrv9361z7035_constr.xdc M_DEPS += ../common/adrv9361z7035_bd.tcl +M_DEPS += ../../scripts/adi_pd.tcl M_DEPS += ../../../library/common/ad_iobuf.v M_DEPS += ../../../library/axi_ad9361/axi_ad9361_delay.tcl diff --git a/projects/adrv9361z7035/ccbob_lvds/Makefile b/projects/adrv9361z7035/ccbob_lvds/Makefile index 957d60b74..98dcc0fec 100644 --- a/projects/adrv9361z7035/ccbob_lvds/Makefile +++ b/projects/adrv9361z7035/ccbob_lvds/Makefile @@ -10,6 +10,7 @@ M_DEPS += ../common/ccbob_bd.tcl M_DEPS += ../common/adrv9361z7035_constr_lvds.xdc M_DEPS += ../common/adrv9361z7035_constr.xdc M_DEPS += ../common/adrv9361z7035_bd.tcl +M_DEPS += ../../scripts/adi_pd.tcl M_DEPS += ../../../library/common/ad_iobuf.v M_DEPS += ../../../library/axi_ad9361/axi_ad9361_delay.tcl diff --git a/projects/adrv9361z7035/ccfmc_lvds/Makefile b/projects/adrv9361z7035/ccfmc_lvds/Makefile index 6acf453b1..33043e11b 100644 --- a/projects/adrv9361z7035/ccfmc_lvds/Makefile +++ b/projects/adrv9361z7035/ccfmc_lvds/Makefile @@ -10,6 +10,7 @@ M_DEPS += ../common/ccfmc_bd.tcl M_DEPS += ../common/adrv9361z7035_constr_lvds.xdc M_DEPS += ../common/adrv9361z7035_constr.xdc M_DEPS += ../common/adrv9361z7035_bd.tcl +M_DEPS += ../../scripts/adi_pd.tcl M_DEPS += ../../../library/common/ad_iobuf.v M_DEPS += ../../../library/common/ad_adl5904_rst.v M_DEPS += ../../../library/axi_ad9361/axi_ad9361_delay.tcl diff --git a/projects/adrv9361z7035/ccpackrf_lvds/Makefile b/projects/adrv9361z7035/ccpackrf_lvds/Makefile index 80d393f6a..5b343222d 100644 --- a/projects/adrv9361z7035/ccpackrf_lvds/Makefile +++ b/projects/adrv9361z7035/ccpackrf_lvds/Makefile @@ -10,6 +10,7 @@ M_DEPS += ../common/ccpackrf_bd.tcl M_DEPS += ../common/adrv9361z7035_constr_lvds.xdc M_DEPS += ../common/adrv9361z7035_constr.xdc M_DEPS += ../common/adrv9361z7035_bd.tcl +M_DEPS += ../../scripts/adi_pd.tcl M_DEPS += ../../../library/common/ad_iobuf.v M_DEPS += ../../../library/common/ad_adl5904_rst.v M_DEPS += ../../../library/axi_ad9361/axi_ad9361_delay.tcl diff --git a/projects/adrv9364z7020/ccbob_cmos/Makefile b/projects/adrv9364z7020/ccbob_cmos/Makefile index 5fa437183..b9bc439f7 100644 --- a/projects/adrv9364z7020/ccbob_cmos/Makefile +++ b/projects/adrv9364z7020/ccbob_cmos/Makefile @@ -10,6 +10,7 @@ M_DEPS += ../common/ccbob_bd.tcl M_DEPS += ../common/adrv9364z7020_constr_cmos.xdc M_DEPS += ../common/adrv9364z7020_constr.xdc M_DEPS += ../common/adrv9364z7020_bd.tcl +M_DEPS += ../../scripts/adi_pd.tcl M_DEPS += ../../../library/common/ad_iobuf.v M_DEPS += ../../../library/axi_ad9361/axi_ad9361_delay.tcl diff --git a/projects/adrv9364z7020/ccbob_lvds/Makefile b/projects/adrv9364z7020/ccbob_lvds/Makefile index d63da7dd6..94e20868c 100644 --- a/projects/adrv9364z7020/ccbob_lvds/Makefile +++ b/projects/adrv9364z7020/ccbob_lvds/Makefile @@ -10,6 +10,7 @@ M_DEPS += ../common/ccbob_bd.tcl M_DEPS += ../common/adrv9364z7020_constr_lvds.xdc M_DEPS += ../common/adrv9364z7020_constr.xdc M_DEPS += ../common/adrv9364z7020_bd.tcl +M_DEPS += ../../scripts/adi_pd.tcl M_DEPS += ../../../library/common/ad_iobuf.v M_DEPS += ../../../library/axi_ad9361/axi_ad9361_delay.tcl diff --git a/projects/adrv9364z7020/ccpackrf_lvds/Makefile b/projects/adrv9364z7020/ccpackrf_lvds/Makefile index 4470482c1..ecde7eb50 100644 --- a/projects/adrv9364z7020/ccpackrf_lvds/Makefile +++ b/projects/adrv9364z7020/ccpackrf_lvds/Makefile @@ -10,6 +10,7 @@ M_DEPS += ../common/ccpackrf_bd.tcl M_DEPS += ../common/adrv9364z7020_constr_lvds.xdc M_DEPS += ../common/adrv9364z7020_constr.xdc M_DEPS += ../common/adrv9364z7020_bd.tcl +M_DEPS += ../../scripts/adi_pd.tcl M_DEPS += ../../../library/common/ad_iobuf.v M_DEPS += ../../../library/common/ad_adl5904_rst.v M_DEPS += ../../../library/axi_ad9361/axi_ad9361_delay.tcl diff --git a/projects/adrv9371x/a10gx/Makefile b/projects/adrv9371x/a10gx/Makefile index 6355b6b25..66c9cf769 100644 --- a/projects/adrv9371x/a10gx/Makefile +++ b/projects/adrv9371x/a10gx/Makefile @@ -6,7 +6,7 @@ PROJECT_NAME := adrv9371x_a10gx M_DEPS += ../common/adrv9371x_qsys.tcl -M_DEPS += ../../scripts/adi_pd_intel.tcl +M_DEPS += ../../scripts/adi_pd.tcl M_DEPS += ../../common/intel/dacfifo_qsys.tcl M_DEPS += ../../common/a10gx/a10gx_system_qsys.tcl M_DEPS += ../../common/a10gx/a10gx_system_assign.tcl diff --git a/projects/adrv9371x/a10soc/Makefile b/projects/adrv9371x/a10soc/Makefile index dc3ef0bbe..6bf16afb7 100644 --- a/projects/adrv9371x/a10soc/Makefile +++ b/projects/adrv9371x/a10soc/Makefile @@ -6,7 +6,7 @@ PROJECT_NAME := adrv9371x_a10soc M_DEPS += ../common/adrv9371x_qsys.tcl -M_DEPS += ../../scripts/adi_pd_intel.tcl +M_DEPS += ../../scripts/adi_pd.tcl M_DEPS += ../../common/a10soc/a10soc_system_qsys.tcl M_DEPS += ../../common/a10soc/a10soc_system_assign.tcl M_DEPS += ../../common/a10soc/a10soc_plddr4_dacfifo_qsys.tcl diff --git a/projects/adrv9371x/kcu105/Makefile b/projects/adrv9371x/kcu105/Makefile index 69cd48a51..ad1f02c4c 100644 --- a/projects/adrv9371x/kcu105/Makefile +++ b/projects/adrv9371x/kcu105/Makefile @@ -6,6 +6,7 @@ PROJECT_NAME := adrv9371x_kcu105 M_DEPS += ../common/adrv9371x_bd.tcl +M_DEPS += ../../scripts/adi_pd.tcl M_DEPS += ../../common/xilinx/dacfifo_bd.tcl M_DEPS += ../../common/xilinx/adi_fir_filter_constr.xdc M_DEPS += ../../common/xilinx/adi_fir_filter_bd.tcl diff --git a/projects/adrv9371x/zc706/Makefile b/projects/adrv9371x/zc706/Makefile index 31b1bb687..afd7478e5 100644 --- a/projects/adrv9371x/zc706/Makefile +++ b/projects/adrv9371x/zc706/Makefile @@ -6,6 +6,7 @@ PROJECT_NAME := adrv9371x_zc706 M_DEPS += ../common/adrv9371x_bd.tcl +M_DEPS += ../../scripts/adi_pd.tcl M_DEPS += ../../common/zc706/zc706_system_constr.xdc M_DEPS += ../../common/zc706/zc706_system_bd.tcl M_DEPS += ../../common/zc706/zc706_plddr3_dacfifo_bd.tcl diff --git a/projects/adrv9371x/zcu102/Makefile b/projects/adrv9371x/zcu102/Makefile index 299891fbe..c8c846f26 100644 --- a/projects/adrv9371x/zcu102/Makefile +++ b/projects/adrv9371x/zcu102/Makefile @@ -6,6 +6,7 @@ PROJECT_NAME := adrv9371x_zcu102 M_DEPS += ../common/adrv9371x_bd.tcl +M_DEPS += ../../scripts/adi_pd.tcl M_DEPS += ../../common/zcu102/zcu102_system_constr.xdc M_DEPS += ../../common/zcu102/zcu102_system_bd.tcl M_DEPS += ../../common/xilinx/dacfifo_bd.tcl diff --git a/projects/adv7511/zc702/Makefile b/projects/adv7511/zc702/Makefile index 6d1601d65..c785a2382 100644 --- a/projects/adv7511/zc702/Makefile +++ b/projects/adv7511/zc702/Makefile @@ -5,6 +5,7 @@ PROJECT_NAME := adv7511_zc702 +M_DEPS += ../../scripts/adi_pd.tcl M_DEPS += ../../common/zc702/zc702_system_constr.xdc M_DEPS += ../../common/zc702/zc702_system_bd.tcl M_DEPS += ../../../library/common/ad_iobuf.v diff --git a/projects/adv7511/zc706/Makefile b/projects/adv7511/zc706/Makefile index 949c35cf1..18470495e 100644 --- a/projects/adv7511/zc706/Makefile +++ b/projects/adv7511/zc706/Makefile @@ -5,6 +5,7 @@ PROJECT_NAME := adv7511_zc706 +M_DEPS += ../../scripts/adi_pd.tcl M_DEPS += ../../common/zc706/zc706_system_constr.xdc M_DEPS += ../../common/zc706/zc706_system_bd.tcl M_DEPS += ../../../library/common/ad_iobuf.v diff --git a/projects/adv7511/zed/Makefile b/projects/adv7511/zed/Makefile index 3c4974f65..4fa497f45 100755 --- a/projects/adv7511/zed/Makefile +++ b/projects/adv7511/zed/Makefile @@ -5,6 +5,7 @@ PROJECT_NAME := adv7511_zed +M_DEPS += ../../scripts/adi_pd.tcl M_DEPS += ../../common/zed/zed_system_constr.xdc M_DEPS += ../../common/zed/zed_system_bd.tcl M_DEPS += ../../../library/common/ad_iobuf.v diff --git a/projects/arradio/c5soc/Makefile b/projects/arradio/c5soc/Makefile index c212ee0e0..d84468154 100644 --- a/projects/arradio/c5soc/Makefile +++ b/projects/arradio/c5soc/Makefile @@ -6,7 +6,7 @@ PROJECT_NAME := arradio_c5soc M_DEPS += ../common/arradio_qsys.tcl -M_DEPS += ../../scripts/adi_pd_intel.tcl +M_DEPS += ../../scripts/adi_pd.tcl M_DEPS += ../../common/c5soc/c5soc_system_qsys.tcl M_DEPS += ../../common/c5soc/c5soc_system_assign.tcl M_DEPS += ../../../library/common/ad_iobuf.v diff --git a/projects/cn0363/zed/Makefile b/projects/cn0363/zed/Makefile index c288eec62..0d662edef 100644 --- a/projects/cn0363/zed/Makefile +++ b/projects/cn0363/zed/Makefile @@ -6,6 +6,7 @@ PROJECT_NAME := cn0363_zed M_DEPS += ../common/cn0363_bd.tcl +M_DEPS += ../../scripts/adi_pd.tcl M_DEPS += ../../common/zed/zed_system_constr.xdc M_DEPS += ../../common/zed/zed_system_bd.tcl M_DEPS += ../../../library/common/ad_iobuf.v diff --git a/projects/cn0506_mii/a10soc/Makefile b/projects/cn0506_mii/a10soc/Makefile index c7aff330c..3d3d73a5c 100644 --- a/projects/cn0506_mii/a10soc/Makefile +++ b/projects/cn0506_mii/a10soc/Makefile @@ -6,7 +6,7 @@ PROJECT_NAME := cn0506_mii_a10soc M_DEPS += ../common/cn0506_qsys.tcl -M_DEPS += ../../scripts/adi_pd_intel.tcl +M_DEPS += ../../scripts/adi_pd.tcl M_DEPS += ../../common/a10soc/a10soc_system_qsys.tcl M_DEPS += ../../common/a10soc/a10soc_system_assign.tcl M_DEPS += ../../../library/common/ad_iobuf.v diff --git a/projects/cn0506_mii/zc706/Makefile b/projects/cn0506_mii/zc706/Makefile index 770ae762b..f073bbb13 100644 --- a/projects/cn0506_mii/zc706/Makefile +++ b/projects/cn0506_mii/zc706/Makefile @@ -6,6 +6,7 @@ PROJECT_NAME := cn0506_mii_zc706 M_DEPS += ../common/cn0506_bd.tcl +M_DEPS += ../../scripts/adi_pd.tcl M_DEPS += ../../common/zc706/zc706_system_constr.xdc M_DEPS += ../../common/zc706/zc706_system_bd.tcl M_DEPS += ../../../library/common/ad_iobuf.v diff --git a/projects/cn0506_mii/zcu102/Makefile b/projects/cn0506_mii/zcu102/Makefile index ed4522dda..0ea9ec1a8 100644 --- a/projects/cn0506_mii/zcu102/Makefile +++ b/projects/cn0506_mii/zcu102/Makefile @@ -6,6 +6,7 @@ PROJECT_NAME := cn0506_mii_zcu102 M_DEPS += ../common/cn0506_bd.tcl +M_DEPS += ../../scripts/adi_pd.tcl M_DEPS += ../../common/zcu102/zcu102_system_constr.xdc M_DEPS += ../../common/zcu102/zcu102_system_bd.tcl diff --git a/projects/cn0506_mii/zed/Makefile b/projects/cn0506_mii/zed/Makefile index bd0239e71..43249a6da 100644 --- a/projects/cn0506_mii/zed/Makefile +++ b/projects/cn0506_mii/zed/Makefile @@ -6,6 +6,7 @@ PROJECT_NAME := cn0506_mii_zed M_DEPS += ../common/cn0506_bd.tcl +M_DEPS += ../../scripts/adi_pd.tcl M_DEPS += ../../common/zed/zed_system_constr.xdc M_DEPS += ../../common/zed/zed_system_bd.tcl M_DEPS += ../../../library/common/ad_iobuf.v diff --git a/projects/cn0506_rgmii/a10soc/Makefile b/projects/cn0506_rgmii/a10soc/Makefile index 0496d4049..b0c5dcbbf 100644 --- a/projects/cn0506_rgmii/a10soc/Makefile +++ b/projects/cn0506_rgmii/a10soc/Makefile @@ -6,7 +6,7 @@ PROJECT_NAME := cn0506_rgmii_a10soc M_DEPS += ../common/cn0506_qsys.tcl -M_DEPS += ../../scripts/adi_pd_intel.tcl +M_DEPS += ../../scripts/adi_pd.tcl M_DEPS += ../../common/a10soc/a10soc_system_qsys.tcl M_DEPS += ../../common/a10soc/a10soc_system_assign.tcl M_DEPS += ../../../library/common/ad_iobuf.v diff --git a/projects/cn0506_rgmii/zc706/Makefile b/projects/cn0506_rgmii/zc706/Makefile index 48ca837a2..6a02cb7fb 100644 --- a/projects/cn0506_rgmii/zc706/Makefile +++ b/projects/cn0506_rgmii/zc706/Makefile @@ -6,6 +6,7 @@ PROJECT_NAME := cn0506_rgmii_zc706 M_DEPS += ../common/cn0506_bd.tcl +M_DEPS += ../../scripts/adi_pd.tcl M_DEPS += ../../common/zc706/zc706_system_constr.xdc M_DEPS += ../../common/zc706/zc706_system_bd.tcl M_DEPS += ../../../library/common/ad_iobuf.v diff --git a/projects/cn0506_rgmii/zcu102/Makefile b/projects/cn0506_rgmii/zcu102/Makefile index 9c64b0a95..11b713520 100644 --- a/projects/cn0506_rgmii/zcu102/Makefile +++ b/projects/cn0506_rgmii/zcu102/Makefile @@ -6,6 +6,7 @@ PROJECT_NAME := cn0506_rgmii_zcu102 M_DEPS += ../common/cn0506_bd.tcl +M_DEPS += ../../scripts/adi_pd.tcl M_DEPS += ../../common/zcu102/zcu102_system_constr.xdc M_DEPS += ../../common/zcu102/zcu102_system_bd.tcl diff --git a/projects/cn0506_rgmii/zed/Makefile b/projects/cn0506_rgmii/zed/Makefile index cdcac9051..8c6b27e57 100644 --- a/projects/cn0506_rgmii/zed/Makefile +++ b/projects/cn0506_rgmii/zed/Makefile @@ -6,6 +6,7 @@ PROJECT_NAME := cn0506_rgmii_zed M_DEPS += ../common/cn0506_bd.tcl +M_DEPS += ../../scripts/adi_pd.tcl M_DEPS += ../../common/zed/zed_system_constr.xdc M_DEPS += ../../common/zed/zed_system_bd.tcl M_DEPS += ../../../library/common/ad_iobuf.v diff --git a/projects/cn0506_rmii/zc706/Makefile b/projects/cn0506_rmii/zc706/Makefile index 078bf11cb..4bdebe24b 100644 --- a/projects/cn0506_rmii/zc706/Makefile +++ b/projects/cn0506_rmii/zc706/Makefile @@ -5,6 +5,7 @@ PROJECT_NAME := cn0506_rmii_zc706 +M_DEPS += ../../scripts/adi_pd.tcl M_DEPS += ../../common/zc706/zc706_system_constr.xdc M_DEPS += ../../common/zc706/zc706_system_bd.tcl M_DEPS += ../../../library/common/ad_iobuf.v diff --git a/projects/cn0506_rmii/zcu102/Makefile b/projects/cn0506_rmii/zcu102/Makefile index 39b2e48d7..ac45ad009 100644 --- a/projects/cn0506_rmii/zcu102/Makefile +++ b/projects/cn0506_rmii/zcu102/Makefile @@ -5,6 +5,7 @@ PROJECT_NAME := cn0506_rmii_zcu102 +M_DEPS += ../../scripts/adi_pd.tcl M_DEPS += ../../common/zcu102/zcu102_system_constr.xdc M_DEPS += ../../common/zcu102/zcu102_system_bd.tcl diff --git a/projects/cn0506_rmii/zed/Makefile b/projects/cn0506_rmii/zed/Makefile index 9eac77500..285432d28 100644 --- a/projects/cn0506_rmii/zed/Makefile +++ b/projects/cn0506_rmii/zed/Makefile @@ -5,6 +5,7 @@ PROJECT_NAME := cn0506_rmii_zed +M_DEPS += ../../scripts/adi_pd.tcl M_DEPS += ../../common/zed/zed_system_constr.xdc M_DEPS += ../../common/zed/zed_system_bd.tcl M_DEPS += ../../../library/common/ad_iobuf.v diff --git a/projects/dac_fmc_ebz/a10soc/Makefile b/projects/dac_fmc_ebz/a10soc/Makefile index fafb94f89..678c4d98c 100644 --- a/projects/dac_fmc_ebz/a10soc/Makefile +++ b/projects/dac_fmc_ebz/a10soc/Makefile @@ -7,7 +7,7 @@ PROJECT_NAME := dac_fmc_ebz_a10soc M_DEPS += ../common/dac_fmc_ebz_qsys.tcl M_DEPS += ../common/config.tcl -M_DEPS += ../../scripts/adi_pd_intel.tcl +M_DEPS += ../../scripts/adi_pd.tcl M_DEPS += ../../common/a10soc/a10soc_system_qsys.tcl M_DEPS += ../../common/a10soc/a10soc_system_assign.tcl M_DEPS += ../../common/a10soc/a10soc_plddr4_dacfifo_qsys.tcl diff --git a/projects/dac_fmc_ebz/zc706/Makefile b/projects/dac_fmc_ebz/zc706/Makefile index b49369cff..f31c9e930 100644 --- a/projects/dac_fmc_ebz/zc706/Makefile +++ b/projects/dac_fmc_ebz/zc706/Makefile @@ -7,6 +7,7 @@ PROJECT_NAME := dac_fmc_ebz_zc706 M_DEPS += ../common/dac_fmc_ebz_bd.tcl M_DEPS += ../common/config.tcl +M_DEPS += ../../scripts/adi_pd.tcl M_DEPS += ../../common/zc706/zc706_system_constr.xdc M_DEPS += ../../common/zc706/zc706_system_bd.tcl M_DEPS += ../../common/xilinx/dacfifo_bd.tcl diff --git a/projects/dac_fmc_ebz/zcu102/Makefile b/projects/dac_fmc_ebz/zcu102/Makefile index efc67ca0c..5bdd85cce 100644 --- a/projects/dac_fmc_ebz/zcu102/Makefile +++ b/projects/dac_fmc_ebz/zcu102/Makefile @@ -7,6 +7,7 @@ PROJECT_NAME := dac_fmc_ebz_zcu102 M_DEPS += ../common/dac_fmc_ebz_bd.tcl M_DEPS += ../common/config.tcl +M_DEPS += ../../scripts/adi_pd.tcl M_DEPS += ../../common/zcu102/zcu102_system_constr.xdc M_DEPS += ../../common/zcu102/zcu102_system_bd.tcl M_DEPS += ../../common/xilinx/dacfifo_bd.tcl diff --git a/projects/daq2/a10gx/Makefile b/projects/daq2/a10gx/Makefile index cf559fc01..06e733df9 100644 --- a/projects/daq2/a10gx/Makefile +++ b/projects/daq2/a10gx/Makefile @@ -7,7 +7,7 @@ PROJECT_NAME := daq2_a10gx M_DEPS += ../common/daq2_spi.v M_DEPS += ../common/daq2_qsys.tcl -M_DEPS += ../../scripts/adi_pd_intel.tcl +M_DEPS += ../../scripts/adi_pd.tcl M_DEPS += ../../common/intel/dacfifo_qsys.tcl M_DEPS += ../../common/a10gx/a10gx_system_qsys.tcl M_DEPS += ../../common/a10gx/a10gx_system_assign.tcl diff --git a/projects/daq2/a10soc/Makefile b/projects/daq2/a10soc/Makefile index 01ce20052..49e4c4a14 100644 --- a/projects/daq2/a10soc/Makefile +++ b/projects/daq2/a10soc/Makefile @@ -7,7 +7,7 @@ PROJECT_NAME := daq2_a10soc M_DEPS += ../common/daq2_spi.v M_DEPS += ../common/daq2_qsys.tcl -M_DEPS += ../../scripts/adi_pd_intel.tcl +M_DEPS += ../../scripts/adi_pd.tcl M_DEPS += ../../common/a10soc/a10soc_system_qsys.tcl M_DEPS += ../../common/a10soc/a10soc_system_assign.tcl M_DEPS += ../../common/a10soc/a10soc_plddr4_dacfifo_qsys.tcl diff --git a/projects/daq2/kc705/Makefile b/projects/daq2/kc705/Makefile index d2b578cb3..6601798d2 100644 --- a/projects/daq2/kc705/Makefile +++ b/projects/daq2/kc705/Makefile @@ -7,6 +7,7 @@ PROJECT_NAME := daq2_kc705 M_DEPS += ../common/daq2_spi.v M_DEPS += ../common/daq2_bd.tcl +M_DEPS += ../../scripts/adi_pd.tcl M_DEPS += ../../common/xilinx/dacfifo_bd.tcl M_DEPS += ../../common/xilinx/adcfifo_bd.tcl M_DEPS += ../../common/kc705/kc705_system_mig.prj diff --git a/projects/daq2/kcu105/Makefile b/projects/daq2/kcu105/Makefile index 35b150725..83908c15e 100644 --- a/projects/daq2/kcu105/Makefile +++ b/projects/daq2/kcu105/Makefile @@ -7,6 +7,7 @@ PROJECT_NAME := daq2_kcu105 M_DEPS += ../common/daq2_spi.v M_DEPS += ../common/daq2_bd.tcl +M_DEPS += ../../scripts/adi_pd.tcl M_DEPS += ../../common/xilinx/dacfifo_bd.tcl M_DEPS += ../../common/xilinx/adcfifo_bd.tcl M_DEPS += ../../common/kcu105/kcu105_system_constr.xdc diff --git a/projects/daq2/zc706/Makefile b/projects/daq2/zc706/Makefile index 17ba06b5a..1a9b5fe4d 100644 --- a/projects/daq2/zc706/Makefile +++ b/projects/daq2/zc706/Makefile @@ -7,6 +7,7 @@ PROJECT_NAME := daq2_zc706 M_DEPS += ../common/daq2_spi.v M_DEPS += ../common/daq2_bd.tcl +M_DEPS += ../../scripts/adi_pd.tcl M_DEPS += ../../common/zc706/zc706_system_constr.xdc M_DEPS += ../../common/zc706/zc706_system_bd.tcl M_DEPS += ../../common/zc706/zc706_plddr3_constr.xdc diff --git a/projects/daq2/zcu102/Makefile b/projects/daq2/zcu102/Makefile index ab31f8f02..7464ce9a6 100644 --- a/projects/daq2/zcu102/Makefile +++ b/projects/daq2/zcu102/Makefile @@ -7,6 +7,7 @@ PROJECT_NAME := daq2_zcu102 M_DEPS += ../common/daq2_spi.v M_DEPS += ../common/daq2_bd.tcl +M_DEPS += ../../scripts/adi_pd.tcl M_DEPS += ../../common/zcu102/zcu102_system_constr.xdc M_DEPS += ../../common/zcu102/zcu102_system_bd.tcl M_DEPS += ../../common/xilinx/dacfifo_bd.tcl diff --git a/projects/daq3/a10gx/Makefile b/projects/daq3/a10gx/Makefile index 80c160a5d..d0efe4922 100644 --- a/projects/daq3/a10gx/Makefile +++ b/projects/daq3/a10gx/Makefile @@ -7,7 +7,7 @@ PROJECT_NAME := daq3_a10gx M_DEPS += ../common/daq3_spi.v M_DEPS += ../common/daq3_qsys.tcl -M_DEPS += ../../scripts/adi_pd_intel.tcl +M_DEPS += ../../scripts/adi_pd.tcl M_DEPS += ../../common/intel/dacfifo_qsys.tcl M_DEPS += ../../common/a10gx/a10gx_system_qsys.tcl M_DEPS += ../../common/a10gx/a10gx_system_assign.tcl diff --git a/projects/daq3/kcu105/Makefile b/projects/daq3/kcu105/Makefile index 7b4f47751..659134aad 100644 --- a/projects/daq3/kcu105/Makefile +++ b/projects/daq3/kcu105/Makefile @@ -7,6 +7,7 @@ PROJECT_NAME := daq3_kcu105 M_DEPS += ../common/daq3_spi.v M_DEPS += ../common/daq3_bd.tcl +M_DEPS += ../../scripts/adi_pd.tcl M_DEPS += ../../common/xilinx/dacfifo_bd.tcl M_DEPS += ../../common/xilinx/adcfifo_bd.tcl M_DEPS += ../../common/kcu105/kcu105_system_constr.xdc diff --git a/projects/daq3/vcu118/Makefile b/projects/daq3/vcu118/Makefile index 5a445030e..e39807271 100644 --- a/projects/daq3/vcu118/Makefile +++ b/projects/daq3/vcu118/Makefile @@ -7,6 +7,7 @@ PROJECT_NAME := daq3_vcu118 M_DEPS += ../common/daq3_spi.v M_DEPS += ../common/daq3_bd.tcl +M_DEPS += ../../scripts/adi_pd.tcl M_DEPS += ../../common/xilinx/dacfifo_bd.tcl M_DEPS += ../../common/xilinx/adcfifo_bd.tcl M_DEPS += ../../common/vcu118/vcu118_system_constr.xdc diff --git a/projects/daq3/zc706/Makefile b/projects/daq3/zc706/Makefile index ec900cc24..8fa6f77a4 100644 --- a/projects/daq3/zc706/Makefile +++ b/projects/daq3/zc706/Makefile @@ -7,6 +7,7 @@ PROJECT_NAME := daq3_zc706 M_DEPS += ../common/daq3_spi.v M_DEPS += ../common/daq3_bd.tcl +M_DEPS += ../../scripts/adi_pd.tcl M_DEPS += ../../common/zc706/zc706_system_constr.xdc M_DEPS += ../../common/zc706/zc706_system_bd.tcl M_DEPS += ../../common/zc706/zc706_plddr3_constr.xdc diff --git a/projects/daq3/zcu102/Makefile b/projects/daq3/zcu102/Makefile index a93aa686d..2d7c36694 100644 --- a/projects/daq3/zcu102/Makefile +++ b/projects/daq3/zcu102/Makefile @@ -7,6 +7,7 @@ PROJECT_NAME := daq3_zcu102 M_DEPS += ../common/daq3_spi.v M_DEPS += ../common/daq3_bd.tcl +M_DEPS += ../../scripts/adi_pd.tcl M_DEPS += ../../common/zcu102/zcu102_system_constr.xdc M_DEPS += ../../common/zcu102/zcu102_system_bd.tcl M_DEPS += ../../common/xilinx/dacfifo_bd.tcl diff --git a/projects/fmcadc2/vc707/Makefile b/projects/fmcadc2/vc707/Makefile index 808e1f526..ebbf28fd8 100644 --- a/projects/fmcadc2/vc707/Makefile +++ b/projects/fmcadc2/vc707/Makefile @@ -7,6 +7,7 @@ PROJECT_NAME := fmcadc2_vc707 M_DEPS += ../common/fmcadc2_spi.v M_DEPS += ../common/fmcadc2_bd.tcl +M_DEPS += ../../scripts/adi_pd.tcl M_DEPS += ../../common/xilinx/adcfifo_bd.tcl M_DEPS += ../../common/vc707/vc707_system_mig.prj M_DEPS += ../../common/vc707/vc707_system_constr.xdc diff --git a/projects/fmcadc2/zc706/Makefile b/projects/fmcadc2/zc706/Makefile index de99b3cbf..c6603f1c2 100644 --- a/projects/fmcadc2/zc706/Makefile +++ b/projects/fmcadc2/zc706/Makefile @@ -7,6 +7,7 @@ PROJECT_NAME := fmcadc2_zc706 M_DEPS += ../common/fmcadc2_spi.v M_DEPS += ../common/fmcadc2_bd.tcl +M_DEPS += ../../scripts/adi_pd.tcl M_DEPS += ../../common/zc706/zc706_system_constr.xdc M_DEPS += ../../common/zc706/zc706_system_bd.tcl M_DEPS += ../../common/zc706/zc706_plddr3_constr.xdc diff --git a/projects/fmcadc5/vc707/Makefile b/projects/fmcadc5/vc707/Makefile index fbe88fb8e..dd775091f 100644 --- a/projects/fmcadc5/vc707/Makefile +++ b/projects/fmcadc5/vc707/Makefile @@ -7,6 +7,7 @@ PROJECT_NAME := fmcadc5_vc707 M_DEPS += ../common/fmcadc5_spi.v M_DEPS += ../common/fmcadc5_bd.tcl +M_DEPS += ../../scripts/adi_pd.tcl M_DEPS += ../../common/xilinx/adcfifo_bd.tcl M_DEPS += ../../common/vc707/vc707_system_mig.prj M_DEPS += ../../common/vc707/vc707_system_constr.xdc diff --git a/projects/fmcjesdadc1/kc705/Makefile b/projects/fmcjesdadc1/kc705/Makefile index aab4fb3b4..db495fbb9 100644 --- a/projects/fmcjesdadc1/kc705/Makefile +++ b/projects/fmcjesdadc1/kc705/Makefile @@ -7,6 +7,7 @@ PROJECT_NAME := fmcjesdadc1_kc705 M_DEPS += ../common/fmcjesdadc1_spi.v M_DEPS += ../common/fmcjesdadc1_bd.tcl +M_DEPS += ../../scripts/adi_pd.tcl M_DEPS += ../../common/kc705/kc705_system_mig.prj M_DEPS += ../../common/kc705/kc705_system_constr.xdc M_DEPS += ../../common/kc705/kc705_system_bd.tcl diff --git a/projects/fmcjesdadc1/vc707/Makefile b/projects/fmcjesdadc1/vc707/Makefile index 703f9f2c1..0ad7b3c6a 100644 --- a/projects/fmcjesdadc1/vc707/Makefile +++ b/projects/fmcjesdadc1/vc707/Makefile @@ -7,6 +7,7 @@ PROJECT_NAME := fmcjesdadc1_vc707 M_DEPS += ../common/fmcjesdadc1_spi.v M_DEPS += ../common/fmcjesdadc1_bd.tcl +M_DEPS += ../../scripts/adi_pd.tcl M_DEPS += ../../common/vc707/vc707_system_mig.prj M_DEPS += ../../common/vc707/vc707_system_constr.xdc M_DEPS += ../../common/vc707/vc707_system_bd.tcl diff --git a/projects/fmcjesdadc1/zc706/Makefile b/projects/fmcjesdadc1/zc706/Makefile index a0e58e42f..a885d20d8 100644 --- a/projects/fmcjesdadc1/zc706/Makefile +++ b/projects/fmcjesdadc1/zc706/Makefile @@ -7,6 +7,7 @@ PROJECT_NAME := fmcjesdadc1_zc706 M_DEPS += ../common/fmcjesdadc1_spi.v M_DEPS += ../common/fmcjesdadc1_bd.tcl +M_DEPS += ../../scripts/adi_pd.tcl M_DEPS += ../../common/zc706/zc706_system_constr.xdc M_DEPS += ../../common/zc706/zc706_system_bd.tcl M_DEPS += ../../../library/jesd204/scripts/jesd204.tcl diff --git a/projects/fmcomms11/zc706/Makefile b/projects/fmcomms11/zc706/Makefile index 9b5babf01..76a0cd92f 100644 --- a/projects/fmcomms11/zc706/Makefile +++ b/projects/fmcomms11/zc706/Makefile @@ -7,6 +7,7 @@ PROJECT_NAME := fmcomms11_zc706 M_DEPS += ../common/fmcomms11_spi.v M_DEPS += ../common/fmcomms11_bd.tcl +M_DEPS += ../../scripts/adi_pd.tcl M_DEPS += ../../common/zc706/zc706_system_constr.xdc M_DEPS += ../../common/zc706/zc706_system_bd.tcl M_DEPS += ../../common/zc706/zc706_plddr3_constr.xdc diff --git a/projects/fmcomms2/kc705/Makefile b/projects/fmcomms2/kc705/Makefile index 7e5ba5433..44ca24210 100644 --- a/projects/fmcomms2/kc705/Makefile +++ b/projects/fmcomms2/kc705/Makefile @@ -6,6 +6,7 @@ PROJECT_NAME := fmcomms2_kc705 M_DEPS += ../common/fmcomms2_bd.tcl +M_DEPS += ../../scripts/adi_pd.tcl M_DEPS += ../../common/kc705/kc705_system_mig.prj M_DEPS += ../../common/kc705/kc705_system_constr.xdc M_DEPS += ../../common/kc705/kc705_system_bd.tcl diff --git a/projects/fmcomms2/kcu105/Makefile b/projects/fmcomms2/kcu105/Makefile index 81a7c1b31..100431410 100644 --- a/projects/fmcomms2/kcu105/Makefile +++ b/projects/fmcomms2/kcu105/Makefile @@ -6,6 +6,7 @@ PROJECT_NAME := fmcomms2_kcu105 M_DEPS += ../common/fmcomms2_bd.tcl +M_DEPS += ../../scripts/adi_pd.tcl M_DEPS += ../../common/kcu105/kcu105_system_mig.tcl M_DEPS += ../../common/kcu105/kcu105_system_lutram_constr.xdc M_DEPS += ../../common/kcu105/kcu105_system_constr.xdc diff --git a/projects/fmcomms2/vc707/Makefile b/projects/fmcomms2/vc707/Makefile index 2c6444376..140bf38bf 100644 --- a/projects/fmcomms2/vc707/Makefile +++ b/projects/fmcomms2/vc707/Makefile @@ -6,6 +6,7 @@ PROJECT_NAME := fmcomms2_vc707 M_DEPS += ../common/fmcomms2_bd.tcl +M_DEPS += ../../scripts/adi_pd.tcl M_DEPS += ../../common/vc707/vc707_system_mig.prj M_DEPS += ../../common/vc707/vc707_system_constr.xdc M_DEPS += ../../common/vc707/vc707_system_bd.tcl diff --git a/projects/fmcomms2/zc702/Makefile b/projects/fmcomms2/zc702/Makefile index 1bae7fc18..e94746727 100644 --- a/projects/fmcomms2/zc702/Makefile +++ b/projects/fmcomms2/zc702/Makefile @@ -6,6 +6,7 @@ PROJECT_NAME := fmcomms2_zc702 M_DEPS += ../common/fmcomms2_bd.tcl +M_DEPS += ../../scripts/adi_pd.tcl M_DEPS += ../../common/zc702/zc702_system_constr.xdc M_DEPS += ../../common/zc702/zc702_system_bd.tcl M_DEPS += ../../../library/common/ad_iobuf.v diff --git a/projects/fmcomms2/zc706/Makefile b/projects/fmcomms2/zc706/Makefile index 5eeeb3fc5..bd47fd71c 100644 --- a/projects/fmcomms2/zc706/Makefile +++ b/projects/fmcomms2/zc706/Makefile @@ -6,6 +6,7 @@ PROJECT_NAME := fmcomms2_zc706 M_DEPS += ../common/fmcomms2_bd.tcl +M_DEPS += ../../scripts/adi_pd.tcl M_DEPS += ../../common/zc706/zc706_system_constr.xdc M_DEPS += ../../common/zc706/zc706_system_bd.tcl M_DEPS += ../../../library/common/ad_iobuf.v diff --git a/projects/fmcomms2/zcu102/Makefile b/projects/fmcomms2/zcu102/Makefile index 9c15a06b2..a64f6f1b0 100644 --- a/projects/fmcomms2/zcu102/Makefile +++ b/projects/fmcomms2/zcu102/Makefile @@ -6,6 +6,7 @@ PROJECT_NAME := fmcomms2_zcu102 M_DEPS += ../common/fmcomms2_bd.tcl +M_DEPS += ../../scripts/adi_pd.tcl M_DEPS += ../../common/zcu102/zcu102_system_constr.xdc M_DEPS += ../../common/zcu102/zcu102_system_bd.tcl M_DEPS += ../../../library/common/ad_iobuf.v diff --git a/projects/fmcomms2/zed/Makefile b/projects/fmcomms2/zed/Makefile index f977de18a..4a3a23a33 100644 --- a/projects/fmcomms2/zed/Makefile +++ b/projects/fmcomms2/zed/Makefile @@ -6,6 +6,7 @@ PROJECT_NAME := fmcomms2_zed M_DEPS += ../common/fmcomms2_bd.tcl +M_DEPS += ../../scripts/adi_pd.tcl M_DEPS += ../../common/zed/zed_system_constr.xdc M_DEPS += ../../common/zed/zed_system_bd.tcl M_DEPS += ../../../library/common/ad_iobuf.v diff --git a/projects/fmcomms5/zc702/Makefile b/projects/fmcomms5/zc702/Makefile index f6dce4e22..43bf5afd9 100644 --- a/projects/fmcomms5/zc702/Makefile +++ b/projects/fmcomms5/zc702/Makefile @@ -6,6 +6,7 @@ PROJECT_NAME := fmcomms5_zc702 M_DEPS += ../common/fmcomms5_bd.tcl +M_DEPS += ../../scripts/adi_pd.tcl M_DEPS += ../../common/zc702/zc702_system_constr.xdc M_DEPS += ../../common/zc702/zc702_system_bd.tcl M_DEPS += ../../../library/common/ad_iobuf.v diff --git a/projects/fmcomms5/zc706/Makefile b/projects/fmcomms5/zc706/Makefile index 3dd62fad0..26ac1d927 100644 --- a/projects/fmcomms5/zc706/Makefile +++ b/projects/fmcomms5/zc706/Makefile @@ -6,6 +6,7 @@ PROJECT_NAME := fmcomms5_zc706 M_DEPS += ../common/fmcomms5_bd.tcl +M_DEPS += ../../scripts/adi_pd.tcl M_DEPS += ../../common/zc706/zc706_system_constr.xdc M_DEPS += ../../common/zc706/zc706_system_bd.tcl M_DEPS += ../../../library/common/ad_iobuf.v diff --git a/projects/fmcomms5/zcu102/Makefile b/projects/fmcomms5/zcu102/Makefile index aca6f8db2..f3fc2023a 100644 --- a/projects/fmcomms5/zcu102/Makefile +++ b/projects/fmcomms5/zcu102/Makefile @@ -6,6 +6,7 @@ PROJECT_NAME := fmcomms5_zcu102 M_DEPS += ../common/fmcomms5_bd.tcl +M_DEPS += ../../scripts/adi_pd.tcl M_DEPS += ../../common/zcu102/zcu102_system_constr.xdc M_DEPS += ../../common/zcu102/zcu102_system_bd.tcl M_DEPS += ../../../library/common/ad_iobuf.v diff --git a/projects/fmcomms8/a10soc/Makefile b/projects/fmcomms8/a10soc/Makefile index 5082302d4..d30f83fcb 100755 --- a/projects/fmcomms8/a10soc/Makefile +++ b/projects/fmcomms8/a10soc/Makefile @@ -7,7 +7,7 @@ PROJECT_NAME := fmcomms8_a10soc M_DEPS += ../common/fmcomms8_spi.v M_DEPS += ../common/fmcomms8_qsys.tcl -M_DEPS += ../../scripts/adi_pd_intel.tcl +M_DEPS += ../../scripts/adi_pd.tcl M_DEPS += ../../common/a10soc/a10soc_system_qsys.tcl M_DEPS += ../../common/a10soc/a10soc_system_assign.tcl M_DEPS += ../../common/a10soc/a10soc_plddr4_dacfifo_qsys.tcl diff --git a/projects/fmcomms8/zcu102/Makefile b/projects/fmcomms8/zcu102/Makefile index db97d1ef7..a3579c318 100644 --- a/projects/fmcomms8/zcu102/Makefile +++ b/projects/fmcomms8/zcu102/Makefile @@ -7,6 +7,7 @@ PROJECT_NAME := fmcomms8_zcu102 M_DEPS += ../common/fmcomms8_spi.v M_DEPS += ../common/fmcomms8_bd.tcl +M_DEPS += ../../scripts/adi_pd.tcl M_DEPS += ../../common/zcu102/zcu102_system_constr.xdc M_DEPS += ../../common/zcu102/zcu102_system_bd.tcl M_DEPS += ../../common/xilinx/dacfifo_bd.tcl diff --git a/projects/imageon/zed/Makefile b/projects/imageon/zed/Makefile index aed59209b..fa5e68861 100644 --- a/projects/imageon/zed/Makefile +++ b/projects/imageon/zed/Makefile @@ -6,6 +6,7 @@ PROJECT_NAME := imageon_zed M_DEPS += ../common/imageon_bd.tcl +M_DEPS += ../../scripts/adi_pd.tcl M_DEPS += ../../common/zed/zed_system_bd.tcl M_DEPS += ../../../library/common/ad_iobuf.v