makefile: Regenerate make files

main
Sergiu Arpadi 2020-11-16 17:59:27 +00:00 committed by sarpadi
parent da61515d41
commit 6f2f2b8626
96 changed files with 99 additions and 14 deletions

View File

@ -8,6 +8,7 @@ PROJECT_NAME := ad40xx_fmc_zed
M_DEPS += system_constr_adaq400x.xdc
M_DEPS += system_constr_ad40xx.xdc
M_DEPS += ../common/ad40xx_bd.tcl
M_DEPS += ../../scripts/adi_pd.tcl
M_DEPS += ../../common/zed/zed_system_constr.xdc
M_DEPS += ../../common/zed/zed_system_bd.tcl
M_DEPS += ../../../library/common/ad_iobuf.v

View File

@ -5,6 +5,7 @@
PROJECT_NAME := ad5758_sdz_zed
M_DEPS += ../../scripts/adi_pd.tcl
M_DEPS += ../../common/zed/zed_system_constr.xdc
M_DEPS += ../../common/zed/zed_system_bd.tcl
M_DEPS += ../../../library/common/ad_iobuf.v

View File

@ -6,6 +6,7 @@
PROJECT_NAME := ad5766_sdz_zed
M_DEPS += ../common/ad5766_bd.tcl
M_DEPS += ../../scripts/adi_pd.tcl
M_DEPS += ../../common/zed/zed_system_constr.xdc
M_DEPS += ../../common/zed/zed_system_bd.tcl
M_DEPS += ../../../library/common/ad_iobuf.v

View File

@ -6,6 +6,7 @@
PROJECT_NAME := ad6676evb_vc707
M_DEPS += ../common/ad6676evb_bd.tcl
M_DEPS += ../../scripts/adi_pd.tcl
M_DEPS += ../../common/vc707/vc707_system_mig.prj
M_DEPS += ../../common/vc707/vc707_system_constr.xdc
M_DEPS += ../../common/vc707/vc707_system_bd.tcl

View File

@ -6,6 +6,7 @@
PROJECT_NAME := ad6676evb_zc706
M_DEPS += ../common/ad6676evb_bd.tcl
M_DEPS += ../../scripts/adi_pd.tcl
M_DEPS += ../../common/zc706/zc706_system_constr.xdc
M_DEPS += ../../common/zc706/zc706_system_bd.tcl
M_DEPS += ../../../library/jesd204/scripts/jesd204.tcl

View File

@ -6,6 +6,7 @@
PROJECT_NAME := ad7134_fmc_zed
M_DEPS += ../common/ad7134_bd.tcl
M_DEPS += ../../scripts/adi_pd.tcl
M_DEPS += ../../common/zed/zed_system_constr.xdc
M_DEPS += ../../common/zed/zed_system_bd.tcl
M_DEPS += ../../../library/common/ad_iobuf.v

View File

@ -6,6 +6,7 @@
PROJECT_NAME := ad738x_fmc_zed
M_DEPS += ../common/ad738x_bd.tcl
M_DEPS += ../../scripts/adi_pd.tcl
M_DEPS += ../../common/zed/zed_system_constr.xdc
M_DEPS += ../../common/zed/zed_system_bd.tcl
M_DEPS += ../../../library/common/ad_iobuf.v

View File

@ -8,6 +8,7 @@ PROJECT_NAME := ad7405_fmc_zed
M_DEPS += system_constr_singlended.xdc
M_DEPS += system_constr_differential.xdc
M_DEPS += ../common/ad7405_bd.tcl
M_DEPS += ../../scripts/adi_pd.tcl
M_DEPS += ../../common/zed/zed_system_constr.xdc
M_DEPS += ../../common/zed/zed_system_bd.tcl
M_DEPS += ../../../library/common/ad_iobuf.v

View File

@ -8,6 +8,7 @@ PROJECT_NAME := ad7616_sdz_zc706
M_DEPS += serial_if_constr.xdc
M_DEPS += parallel_if_constr.xdc
M_DEPS += ../common/ad7616_bd.tcl
M_DEPS += ../../scripts/adi_pd.tcl
M_DEPS += ../../common/zc706/zc706_system_constr.xdc
M_DEPS += ../../common/zc706/zc706_system_bd.tcl
M_DEPS += ../../../library/common/ad_iobuf.v

View File

@ -8,6 +8,7 @@ PROJECT_NAME := ad7616_sdz_zed
M_DEPS += serial_if_constr.xdc
M_DEPS += parallel_if_constr.xdc
M_DEPS += ../common/ad7616_bd.tcl
M_DEPS += ../../scripts/adi_pd.tcl
M_DEPS += ../../common/zed/zed_system_constr.xdc
M_DEPS += ../../common/zed/zed_system_bd.tcl
M_DEPS += ../../../library/common/ad_iobuf.v

View File

@ -6,6 +6,7 @@
PROJECT_NAME := ad77681evb_zed
M_DEPS += ../common/ad77681evb_bd.tcl
M_DEPS += ../../scripts/adi_pd.tcl
M_DEPS += ../../common/zed/zed_system_constr.xdc
M_DEPS += ../../common/zed/zed_system_bd.tcl
M_DEPS += ../../../library/xilinx/common/ad_data_clk.v

View File

@ -7,6 +7,7 @@ PROJECT_NAME := ad7768evb_zed
M_DEPS += ../common/ad7768evb_bd.tcl
M_DEPS += ../common/ad7768_if.v
M_DEPS += ../../scripts/adi_pd.tcl
M_DEPS += ../../common/zed/zed_system_constr.xdc
M_DEPS += ../../common/zed/zed_system_bd.tcl
M_DEPS += ../../../library/common/ad_iobuf.v

View File

@ -7,6 +7,7 @@ PROJECT_NAME := ad9081_fmca_ebz_vcu118
M_DEPS += timing_constr.xdc
M_DEPS += ../common/ad9081_fmca_ebz_bd.tcl
M_DEPS += ../../scripts/adi_pd.tcl
M_DEPS += ../../common/xilinx/dacfifo_bd.tcl
M_DEPS += ../../common/xilinx/adcfifo_bd.tcl
M_DEPS += ../../common/vcu118/vcu118_system_constr.xdc

View File

@ -11,11 +11,14 @@ M_DEPS += ../../common/zc706/zc706_system_constr.xdc
M_DEPS += ../../common/zc706/zc706_system_bd.tcl
M_DEPS += ../../common/xilinx/dacfifo_bd.tcl
M_DEPS += ../../common/xilinx/adcfifo_bd.tcl
M_DEPS += ../../../library/common/ad_iobuf.v
M_DEPS += ../../../library/jesd204/scripts/jesd204.tcl
M_DEPS += ../../../library/common/ad_iobuf.v
M_DEPS += ../../../library/common/ad_3w_spi.v
LIB_DEPS += axi_clkgen
LIB_DEPS += axi_dmac
LIB_DEPS += axi_hdmi_tx
LIB_DEPS += axi_spdif_tx
LIB_DEPS += axi_sysid
LIB_DEPS += jesd204/ad_ip_jesd204_tpl_adc
LIB_DEPS += jesd204/ad_ip_jesd204_tpl_dac

View File

@ -7,6 +7,7 @@ PROJECT_NAME := ad9081_fmca_ebz_zcu102
M_DEPS += timing_constr.xdc
M_DEPS += ../common/ad9081_fmca_ebz_bd.tcl
M_DEPS += ../../scripts/adi_pd.tcl
M_DEPS += ../../common/zcu102/zcu102_system_constr.xdc
M_DEPS += ../../common/zcu102/zcu102_system_bd.tcl
M_DEPS += ../../common/xilinx/dacfifo_bd.tcl

View File

@ -6,6 +6,7 @@
PROJECT_NAME := ad9208_dual_ebz_vcu118
M_DEPS += ../common/dual_ad9208_bd.tcl
M_DEPS += ../../scripts/adi_pd.tcl
M_DEPS += ../../daq3/common/daq3_spi.v
M_DEPS += ../../common/xilinx/adcfifo_bd.tcl
M_DEPS += ../../common/vcu118/vcu118_system_constr.xdc

View File

@ -7,6 +7,7 @@ PROJECT_NAME := ad9265_fmc_zc706
M_DEPS += ../common/ad9265_spi.v
M_DEPS += ../common/ad9265_bd.tcl
M_DEPS += ../../scripts/adi_pd.tcl
M_DEPS += ../../common/zc706/zc706_system_constr.xdc
M_DEPS += ../../common/zc706/zc706_system_bd.tcl
M_DEPS += ../../../library/common/ad_iobuf.v

View File

@ -7,6 +7,7 @@ PROJECT_NAME := ad9434_fmc_zc706
M_DEPS += ../common/ad9434_spi.v
M_DEPS += ../common/ad9434_bd.tcl
M_DEPS += ../../scripts/adi_pd.tcl
M_DEPS += ../../common/zc706/zc706_system_constr.xdc
M_DEPS += ../../common/zc706/zc706_system_bd.tcl
M_DEPS += ../../../library/common/ad_iobuf.v

View File

@ -7,6 +7,7 @@ PROJECT_NAME := ad9467_fmc_kc705
M_DEPS += ../common/ad9467_spi.v
M_DEPS += ../common/ad9467_bd.tcl
M_DEPS += ../../scripts/adi_pd.tcl
M_DEPS += ../../common/kc705/kc705_system_mig.prj
M_DEPS += ../../common/kc705/kc705_system_constr.xdc
M_DEPS += ../../common/kc705/kc705_system_bd.tcl

View File

@ -7,6 +7,7 @@ PROJECT_NAME := ad9467_fmc_zed
M_DEPS += ../common/ad9467_spi.v
M_DEPS += ../common/ad9467_bd.tcl
M_DEPS += ../../scripts/adi_pd.tcl
M_DEPS += ../../common/zed/zed_system_constr.xdc
M_DEPS += ../../common/zed/zed_system_bd.tcl
M_DEPS += ../../../library/common/ad_iobuf.v

View File

@ -6,6 +6,7 @@
PROJECT_NAME := ad9656_fmc_zcu102
M_DEPS += ../common/ad9656_fmc_bd.tcl
M_DEPS += ../../scripts/adi_pd.tcl
M_DEPS += ../../common/zcu102/zcu102_system_constr.xdc
M_DEPS += ../../common/zcu102/zcu102_system_bd.tcl
M_DEPS += ../../../library/jesd204/scripts/jesd204.tcl

View File

@ -6,6 +6,7 @@
PROJECT_NAME := ad9739a_fmc_zc706
M_DEPS += ../common/ad9739a_fmc_bd.tcl
M_DEPS += ../../scripts/adi_pd.tcl
M_DEPS += ../../common/zc706/zc706_system_constr.xdc
M_DEPS += ../../common/zc706/zc706_system_bd.tcl
M_DEPS += ../../../library/common/ad_iobuf.v

View File

@ -8,7 +8,7 @@ PROJECT_NAME := ad_fmclidar1_ebz_a10soc
M_DEPS += ../common/util_tia_chsel.v
M_DEPS += ../common/util_axis_syncgen.v
M_DEPS += ../common/ad_fmclidar1_ebz_qsys.tcl
M_DEPS += ../../scripts/adi_pd_intel.tcl
M_DEPS += ../../scripts/adi_pd.tcl
M_DEPS += ../../common/a10soc/a10soc_system_qsys.tcl
M_DEPS += ../../common/a10soc/a10soc_system_assign.tcl
M_DEPS += ../../../library/util_cdc/sync_bits.v

View File

@ -8,6 +8,7 @@ PROJECT_NAME := ad_fmclidar1_ebz_zc706
M_DEPS += ../common/util_tia_chsel.v
M_DEPS += ../common/util_axis_syncgen.v
M_DEPS += ../common/ad_fmclidar1_ebz_bd.tcl
M_DEPS += ../../scripts/adi_pd.tcl
M_DEPS += ../../common/zc706/zc706_system_constr.xdc
M_DEPS += ../../common/zc706/zc706_system_bd.tcl
M_DEPS += ../../../library/util_cdc/sync_bits.v

View File

@ -8,6 +8,7 @@ PROJECT_NAME := ad_fmclidar1_ebz_zcu102
M_DEPS += ../common/util_tia_chsel.v
M_DEPS += ../common/util_axis_syncgen.v
M_DEPS += ../common/ad_fmclidar1_ebz_bd.tcl
M_DEPS += ../../scripts/adi_pd.tcl
M_DEPS += ../../common/zcu102/zcu102_system_constr.xdc
M_DEPS += ../../common/zcu102/zcu102_system_bd.tcl
M_DEPS += ../../../library/util_cdc/sync_bits.v

View File

@ -6,6 +6,7 @@
PROJECT_NAME := adaq7980_sdz_zed
M_DEPS += ../common/adaq7980_bd.tcl
M_DEPS += ../../scripts/adi_pd.tcl
M_DEPS += ../../common/zed/zed_system_constr.xdc
M_DEPS += ../../common/zed/zed_system_bd.tcl
M_DEPS += ../../../library/common/ad_iobuf.v

View File

@ -8,6 +8,7 @@ PROJECT_NAME := adrv9001_zcu102
M_DEPS += lvds_constr.xdc
M_DEPS += cmos_constr.xdc
M_DEPS += ../common/adrv9001_bd.tcl
M_DEPS += ../../scripts/adi_pd.tcl
M_DEPS += ../../common/zcu102/zcu102_system_constr.xdc
M_DEPS += ../../common/zcu102/zcu102_system_bd.tcl
M_DEPS += ../../../library/common/ad_iobuf.v

View File

@ -7,6 +7,7 @@ PROJECT_NAME := adrv9001_zed
M_DEPS += cmos_constr.xdc
M_DEPS += ../common/adrv9001_bd.tcl
M_DEPS += ../../scripts/adi_pd.tcl
M_DEPS += ../../common/zed/zed_system_constr.xdc
M_DEPS += ../../common/zed/zed_system_bd.tcl
M_DEPS += ../../../library/common/ad_iobuf.v

View File

@ -6,7 +6,7 @@
PROJECT_NAME := adrv9009_a10gx
M_DEPS += ../common/adrv9009_qsys.tcl
M_DEPS += ../../scripts/adi_pd_intel.tcl
M_DEPS += ../../scripts/adi_pd.tcl
M_DEPS += ../../common/intel/dacfifo_qsys.tcl
M_DEPS += ../../common/a10gx/a10gx_system_qsys.tcl
M_DEPS += ../../common/a10gx/a10gx_system_assign.tcl

View File

@ -6,7 +6,7 @@
PROJECT_NAME := adrv9009_a10soc
M_DEPS += ../common/adrv9009_qsys.tcl
M_DEPS += ../../scripts/adi_pd_intel.tcl
M_DEPS += ../../scripts/adi_pd.tcl
M_DEPS += ../../common/a10soc/a10soc_system_qsys.tcl
M_DEPS += ../../common/a10soc/a10soc_system_assign.tcl
M_DEPS += ../../common/a10soc/a10soc_plddr4_dacfifo_qsys.tcl

View File

@ -6,6 +6,7 @@
PROJECT_NAME := adrv9009_zc706
M_DEPS += ../common/adrv9009_bd.tcl
M_DEPS += ../../scripts/adi_pd.tcl
M_DEPS += ../../common/zc706/zc706_system_constr.xdc
M_DEPS += ../../common/zc706/zc706_system_bd.tcl
M_DEPS += ../../common/zc706/zc706_plddr3_dacfifo_bd.tcl

View File

@ -6,6 +6,7 @@
PROJECT_NAME := adrv9009_zcu102
M_DEPS += ../common/adrv9009_bd.tcl
M_DEPS += ../../scripts/adi_pd.tcl
M_DEPS += ../../common/zcu102/zcu102_system_constr.xdc
M_DEPS += ../../common/zcu102/zcu102_system_bd.tcl
M_DEPS += ../../common/xilinx/dacfifo_bd.tcl

View File

@ -10,6 +10,7 @@ M_DEPS += ../common/adrv9009zu11eg_constr.xdc
M_DEPS += ../common/adrv9009zu11eg_bd.tcl
M_DEPS += ../common/adrv2crr_fmc_constr.xdc
M_DEPS += ../common/adrv2crr_fmc_bd.tcl
M_DEPS += ../../scripts/adi_pd.tcl
M_DEPS += ../../../library/jesd204/scripts/jesd204.tcl
M_DEPS += ../../../library/common/ad_iobuf.v

View File

@ -11,6 +11,7 @@ M_DEPS += ../common/adrv9009zu11eg_constr.xdc
M_DEPS += ../common/adrv9009zu11eg_bd.tcl
M_DEPS += ../common/adrv2crr_fmc_constr.xdc
M_DEPS += ../common/adrv2crr_fmc_bd.tcl
M_DEPS += ../../scripts/adi_pd.tcl
M_DEPS += ../../../library/jesd204/scripts/jesd204.tcl
M_DEPS += ../../../library/common/ad_iobuf.v

View File

@ -10,6 +10,7 @@ M_DEPS += ../common/ccbob_bd.tcl
M_DEPS += ../common/adrv9361z7035_constr_cmos.xdc
M_DEPS += ../common/adrv9361z7035_constr.xdc
M_DEPS += ../common/adrv9361z7035_bd.tcl
M_DEPS += ../../scripts/adi_pd.tcl
M_DEPS += ../../../library/common/ad_iobuf.v
M_DEPS += ../../../library/axi_ad9361/axi_ad9361_delay.tcl

View File

@ -10,6 +10,7 @@ M_DEPS += ../common/ccbob_bd.tcl
M_DEPS += ../common/adrv9361z7035_constr_lvds.xdc
M_DEPS += ../common/adrv9361z7035_constr.xdc
M_DEPS += ../common/adrv9361z7035_bd.tcl
M_DEPS += ../../scripts/adi_pd.tcl
M_DEPS += ../../../library/common/ad_iobuf.v
M_DEPS += ../../../library/axi_ad9361/axi_ad9361_delay.tcl

View File

@ -10,6 +10,7 @@ M_DEPS += ../common/ccfmc_bd.tcl
M_DEPS += ../common/adrv9361z7035_constr_lvds.xdc
M_DEPS += ../common/adrv9361z7035_constr.xdc
M_DEPS += ../common/adrv9361z7035_bd.tcl
M_DEPS += ../../scripts/adi_pd.tcl
M_DEPS += ../../../library/common/ad_iobuf.v
M_DEPS += ../../../library/common/ad_adl5904_rst.v
M_DEPS += ../../../library/axi_ad9361/axi_ad9361_delay.tcl

View File

@ -10,6 +10,7 @@ M_DEPS += ../common/ccpackrf_bd.tcl
M_DEPS += ../common/adrv9361z7035_constr_lvds.xdc
M_DEPS += ../common/adrv9361z7035_constr.xdc
M_DEPS += ../common/adrv9361z7035_bd.tcl
M_DEPS += ../../scripts/adi_pd.tcl
M_DEPS += ../../../library/common/ad_iobuf.v
M_DEPS += ../../../library/common/ad_adl5904_rst.v
M_DEPS += ../../../library/axi_ad9361/axi_ad9361_delay.tcl

View File

@ -10,6 +10,7 @@ M_DEPS += ../common/ccbob_bd.tcl
M_DEPS += ../common/adrv9364z7020_constr_cmos.xdc
M_DEPS += ../common/adrv9364z7020_constr.xdc
M_DEPS += ../common/adrv9364z7020_bd.tcl
M_DEPS += ../../scripts/adi_pd.tcl
M_DEPS += ../../../library/common/ad_iobuf.v
M_DEPS += ../../../library/axi_ad9361/axi_ad9361_delay.tcl

View File

@ -10,6 +10,7 @@ M_DEPS += ../common/ccbob_bd.tcl
M_DEPS += ../common/adrv9364z7020_constr_lvds.xdc
M_DEPS += ../common/adrv9364z7020_constr.xdc
M_DEPS += ../common/adrv9364z7020_bd.tcl
M_DEPS += ../../scripts/adi_pd.tcl
M_DEPS += ../../../library/common/ad_iobuf.v
M_DEPS += ../../../library/axi_ad9361/axi_ad9361_delay.tcl

View File

@ -10,6 +10,7 @@ M_DEPS += ../common/ccpackrf_bd.tcl
M_DEPS += ../common/adrv9364z7020_constr_lvds.xdc
M_DEPS += ../common/adrv9364z7020_constr.xdc
M_DEPS += ../common/adrv9364z7020_bd.tcl
M_DEPS += ../../scripts/adi_pd.tcl
M_DEPS += ../../../library/common/ad_iobuf.v
M_DEPS += ../../../library/common/ad_adl5904_rst.v
M_DEPS += ../../../library/axi_ad9361/axi_ad9361_delay.tcl

View File

@ -6,7 +6,7 @@
PROJECT_NAME := adrv9371x_a10gx
M_DEPS += ../common/adrv9371x_qsys.tcl
M_DEPS += ../../scripts/adi_pd_intel.tcl
M_DEPS += ../../scripts/adi_pd.tcl
M_DEPS += ../../common/intel/dacfifo_qsys.tcl
M_DEPS += ../../common/a10gx/a10gx_system_qsys.tcl
M_DEPS += ../../common/a10gx/a10gx_system_assign.tcl

View File

@ -6,7 +6,7 @@
PROJECT_NAME := adrv9371x_a10soc
M_DEPS += ../common/adrv9371x_qsys.tcl
M_DEPS += ../../scripts/adi_pd_intel.tcl
M_DEPS += ../../scripts/adi_pd.tcl
M_DEPS += ../../common/a10soc/a10soc_system_qsys.tcl
M_DEPS += ../../common/a10soc/a10soc_system_assign.tcl
M_DEPS += ../../common/a10soc/a10soc_plddr4_dacfifo_qsys.tcl

View File

@ -6,6 +6,7 @@
PROJECT_NAME := adrv9371x_kcu105
M_DEPS += ../common/adrv9371x_bd.tcl
M_DEPS += ../../scripts/adi_pd.tcl
M_DEPS += ../../common/xilinx/dacfifo_bd.tcl
M_DEPS += ../../common/xilinx/adi_fir_filter_constr.xdc
M_DEPS += ../../common/xilinx/adi_fir_filter_bd.tcl

View File

@ -6,6 +6,7 @@
PROJECT_NAME := adrv9371x_zc706
M_DEPS += ../common/adrv9371x_bd.tcl
M_DEPS += ../../scripts/adi_pd.tcl
M_DEPS += ../../common/zc706/zc706_system_constr.xdc
M_DEPS += ../../common/zc706/zc706_system_bd.tcl
M_DEPS += ../../common/zc706/zc706_plddr3_dacfifo_bd.tcl

View File

@ -6,6 +6,7 @@
PROJECT_NAME := adrv9371x_zcu102
M_DEPS += ../common/adrv9371x_bd.tcl
M_DEPS += ../../scripts/adi_pd.tcl
M_DEPS += ../../common/zcu102/zcu102_system_constr.xdc
M_DEPS += ../../common/zcu102/zcu102_system_bd.tcl
M_DEPS += ../../common/xilinx/dacfifo_bd.tcl

View File

@ -5,6 +5,7 @@
PROJECT_NAME := adv7511_zc702
M_DEPS += ../../scripts/adi_pd.tcl
M_DEPS += ../../common/zc702/zc702_system_constr.xdc
M_DEPS += ../../common/zc702/zc702_system_bd.tcl
M_DEPS += ../../../library/common/ad_iobuf.v

View File

@ -5,6 +5,7 @@
PROJECT_NAME := adv7511_zc706
M_DEPS += ../../scripts/adi_pd.tcl
M_DEPS += ../../common/zc706/zc706_system_constr.xdc
M_DEPS += ../../common/zc706/zc706_system_bd.tcl
M_DEPS += ../../../library/common/ad_iobuf.v

View File

@ -5,6 +5,7 @@
PROJECT_NAME := adv7511_zed
M_DEPS += ../../scripts/adi_pd.tcl
M_DEPS += ../../common/zed/zed_system_constr.xdc
M_DEPS += ../../common/zed/zed_system_bd.tcl
M_DEPS += ../../../library/common/ad_iobuf.v

View File

@ -6,7 +6,7 @@
PROJECT_NAME := arradio_c5soc
M_DEPS += ../common/arradio_qsys.tcl
M_DEPS += ../../scripts/adi_pd_intel.tcl
M_DEPS += ../../scripts/adi_pd.tcl
M_DEPS += ../../common/c5soc/c5soc_system_qsys.tcl
M_DEPS += ../../common/c5soc/c5soc_system_assign.tcl
M_DEPS += ../../../library/common/ad_iobuf.v

View File

@ -6,6 +6,7 @@
PROJECT_NAME := cn0363_zed
M_DEPS += ../common/cn0363_bd.tcl
M_DEPS += ../../scripts/adi_pd.tcl
M_DEPS += ../../common/zed/zed_system_constr.xdc
M_DEPS += ../../common/zed/zed_system_bd.tcl
M_DEPS += ../../../library/common/ad_iobuf.v

View File

@ -6,7 +6,7 @@
PROJECT_NAME := cn0506_mii_a10soc
M_DEPS += ../common/cn0506_qsys.tcl
M_DEPS += ../../scripts/adi_pd_intel.tcl
M_DEPS += ../../scripts/adi_pd.tcl
M_DEPS += ../../common/a10soc/a10soc_system_qsys.tcl
M_DEPS += ../../common/a10soc/a10soc_system_assign.tcl
M_DEPS += ../../../library/common/ad_iobuf.v

View File

@ -6,6 +6,7 @@
PROJECT_NAME := cn0506_mii_zc706
M_DEPS += ../common/cn0506_bd.tcl
M_DEPS += ../../scripts/adi_pd.tcl
M_DEPS += ../../common/zc706/zc706_system_constr.xdc
M_DEPS += ../../common/zc706/zc706_system_bd.tcl
M_DEPS += ../../../library/common/ad_iobuf.v

View File

@ -6,6 +6,7 @@
PROJECT_NAME := cn0506_mii_zcu102
M_DEPS += ../common/cn0506_bd.tcl
M_DEPS += ../../scripts/adi_pd.tcl
M_DEPS += ../../common/zcu102/zcu102_system_constr.xdc
M_DEPS += ../../common/zcu102/zcu102_system_bd.tcl

View File

@ -6,6 +6,7 @@
PROJECT_NAME := cn0506_mii_zed
M_DEPS += ../common/cn0506_bd.tcl
M_DEPS += ../../scripts/adi_pd.tcl
M_DEPS += ../../common/zed/zed_system_constr.xdc
M_DEPS += ../../common/zed/zed_system_bd.tcl
M_DEPS += ../../../library/common/ad_iobuf.v

View File

@ -6,7 +6,7 @@
PROJECT_NAME := cn0506_rgmii_a10soc
M_DEPS += ../common/cn0506_qsys.tcl
M_DEPS += ../../scripts/adi_pd_intel.tcl
M_DEPS += ../../scripts/adi_pd.tcl
M_DEPS += ../../common/a10soc/a10soc_system_qsys.tcl
M_DEPS += ../../common/a10soc/a10soc_system_assign.tcl
M_DEPS += ../../../library/common/ad_iobuf.v

View File

@ -6,6 +6,7 @@
PROJECT_NAME := cn0506_rgmii_zc706
M_DEPS += ../common/cn0506_bd.tcl
M_DEPS += ../../scripts/adi_pd.tcl
M_DEPS += ../../common/zc706/zc706_system_constr.xdc
M_DEPS += ../../common/zc706/zc706_system_bd.tcl
M_DEPS += ../../../library/common/ad_iobuf.v

View File

@ -6,6 +6,7 @@
PROJECT_NAME := cn0506_rgmii_zcu102
M_DEPS += ../common/cn0506_bd.tcl
M_DEPS += ../../scripts/adi_pd.tcl
M_DEPS += ../../common/zcu102/zcu102_system_constr.xdc
M_DEPS += ../../common/zcu102/zcu102_system_bd.tcl

View File

@ -6,6 +6,7 @@
PROJECT_NAME := cn0506_rgmii_zed
M_DEPS += ../common/cn0506_bd.tcl
M_DEPS += ../../scripts/adi_pd.tcl
M_DEPS += ../../common/zed/zed_system_constr.xdc
M_DEPS += ../../common/zed/zed_system_bd.tcl
M_DEPS += ../../../library/common/ad_iobuf.v

View File

@ -5,6 +5,7 @@
PROJECT_NAME := cn0506_rmii_zc706
M_DEPS += ../../scripts/adi_pd.tcl
M_DEPS += ../../common/zc706/zc706_system_constr.xdc
M_DEPS += ../../common/zc706/zc706_system_bd.tcl
M_DEPS += ../../../library/common/ad_iobuf.v

View File

@ -5,6 +5,7 @@
PROJECT_NAME := cn0506_rmii_zcu102
M_DEPS += ../../scripts/adi_pd.tcl
M_DEPS += ../../common/zcu102/zcu102_system_constr.xdc
M_DEPS += ../../common/zcu102/zcu102_system_bd.tcl

View File

@ -5,6 +5,7 @@
PROJECT_NAME := cn0506_rmii_zed
M_DEPS += ../../scripts/adi_pd.tcl
M_DEPS += ../../common/zed/zed_system_constr.xdc
M_DEPS += ../../common/zed/zed_system_bd.tcl
M_DEPS += ../../../library/common/ad_iobuf.v

View File

@ -7,7 +7,7 @@ PROJECT_NAME := dac_fmc_ebz_a10soc
M_DEPS += ../common/dac_fmc_ebz_qsys.tcl
M_DEPS += ../common/config.tcl
M_DEPS += ../../scripts/adi_pd_intel.tcl
M_DEPS += ../../scripts/adi_pd.tcl
M_DEPS += ../../common/a10soc/a10soc_system_qsys.tcl
M_DEPS += ../../common/a10soc/a10soc_system_assign.tcl
M_DEPS += ../../common/a10soc/a10soc_plddr4_dacfifo_qsys.tcl

View File

@ -7,6 +7,7 @@ PROJECT_NAME := dac_fmc_ebz_zc706
M_DEPS += ../common/dac_fmc_ebz_bd.tcl
M_DEPS += ../common/config.tcl
M_DEPS += ../../scripts/adi_pd.tcl
M_DEPS += ../../common/zc706/zc706_system_constr.xdc
M_DEPS += ../../common/zc706/zc706_system_bd.tcl
M_DEPS += ../../common/xilinx/dacfifo_bd.tcl

View File

@ -7,6 +7,7 @@ PROJECT_NAME := dac_fmc_ebz_zcu102
M_DEPS += ../common/dac_fmc_ebz_bd.tcl
M_DEPS += ../common/config.tcl
M_DEPS += ../../scripts/adi_pd.tcl
M_DEPS += ../../common/zcu102/zcu102_system_constr.xdc
M_DEPS += ../../common/zcu102/zcu102_system_bd.tcl
M_DEPS += ../../common/xilinx/dacfifo_bd.tcl

View File

@ -7,7 +7,7 @@ PROJECT_NAME := daq2_a10gx
M_DEPS += ../common/daq2_spi.v
M_DEPS += ../common/daq2_qsys.tcl
M_DEPS += ../../scripts/adi_pd_intel.tcl
M_DEPS += ../../scripts/adi_pd.tcl
M_DEPS += ../../common/intel/dacfifo_qsys.tcl
M_DEPS += ../../common/a10gx/a10gx_system_qsys.tcl
M_DEPS += ../../common/a10gx/a10gx_system_assign.tcl

View File

@ -7,7 +7,7 @@ PROJECT_NAME := daq2_a10soc
M_DEPS += ../common/daq2_spi.v
M_DEPS += ../common/daq2_qsys.tcl
M_DEPS += ../../scripts/adi_pd_intel.tcl
M_DEPS += ../../scripts/adi_pd.tcl
M_DEPS += ../../common/a10soc/a10soc_system_qsys.tcl
M_DEPS += ../../common/a10soc/a10soc_system_assign.tcl
M_DEPS += ../../common/a10soc/a10soc_plddr4_dacfifo_qsys.tcl

View File

@ -7,6 +7,7 @@ PROJECT_NAME := daq2_kc705
M_DEPS += ../common/daq2_spi.v
M_DEPS += ../common/daq2_bd.tcl
M_DEPS += ../../scripts/adi_pd.tcl
M_DEPS += ../../common/xilinx/dacfifo_bd.tcl
M_DEPS += ../../common/xilinx/adcfifo_bd.tcl
M_DEPS += ../../common/kc705/kc705_system_mig.prj

View File

@ -7,6 +7,7 @@ PROJECT_NAME := daq2_kcu105
M_DEPS += ../common/daq2_spi.v
M_DEPS += ../common/daq2_bd.tcl
M_DEPS += ../../scripts/adi_pd.tcl
M_DEPS += ../../common/xilinx/dacfifo_bd.tcl
M_DEPS += ../../common/xilinx/adcfifo_bd.tcl
M_DEPS += ../../common/kcu105/kcu105_system_constr.xdc

View File

@ -7,6 +7,7 @@ PROJECT_NAME := daq2_zc706
M_DEPS += ../common/daq2_spi.v
M_DEPS += ../common/daq2_bd.tcl
M_DEPS += ../../scripts/adi_pd.tcl
M_DEPS += ../../common/zc706/zc706_system_constr.xdc
M_DEPS += ../../common/zc706/zc706_system_bd.tcl
M_DEPS += ../../common/zc706/zc706_plddr3_constr.xdc

View File

@ -7,6 +7,7 @@ PROJECT_NAME := daq2_zcu102
M_DEPS += ../common/daq2_spi.v
M_DEPS += ../common/daq2_bd.tcl
M_DEPS += ../../scripts/adi_pd.tcl
M_DEPS += ../../common/zcu102/zcu102_system_constr.xdc
M_DEPS += ../../common/zcu102/zcu102_system_bd.tcl
M_DEPS += ../../common/xilinx/dacfifo_bd.tcl

View File

@ -7,7 +7,7 @@ PROJECT_NAME := daq3_a10gx
M_DEPS += ../common/daq3_spi.v
M_DEPS += ../common/daq3_qsys.tcl
M_DEPS += ../../scripts/adi_pd_intel.tcl
M_DEPS += ../../scripts/adi_pd.tcl
M_DEPS += ../../common/intel/dacfifo_qsys.tcl
M_DEPS += ../../common/a10gx/a10gx_system_qsys.tcl
M_DEPS += ../../common/a10gx/a10gx_system_assign.tcl

View File

@ -7,6 +7,7 @@ PROJECT_NAME := daq3_kcu105
M_DEPS += ../common/daq3_spi.v
M_DEPS += ../common/daq3_bd.tcl
M_DEPS += ../../scripts/adi_pd.tcl
M_DEPS += ../../common/xilinx/dacfifo_bd.tcl
M_DEPS += ../../common/xilinx/adcfifo_bd.tcl
M_DEPS += ../../common/kcu105/kcu105_system_constr.xdc

View File

@ -7,6 +7,7 @@ PROJECT_NAME := daq3_vcu118
M_DEPS += ../common/daq3_spi.v
M_DEPS += ../common/daq3_bd.tcl
M_DEPS += ../../scripts/adi_pd.tcl
M_DEPS += ../../common/xilinx/dacfifo_bd.tcl
M_DEPS += ../../common/xilinx/adcfifo_bd.tcl
M_DEPS += ../../common/vcu118/vcu118_system_constr.xdc

View File

@ -7,6 +7,7 @@ PROJECT_NAME := daq3_zc706
M_DEPS += ../common/daq3_spi.v
M_DEPS += ../common/daq3_bd.tcl
M_DEPS += ../../scripts/adi_pd.tcl
M_DEPS += ../../common/zc706/zc706_system_constr.xdc
M_DEPS += ../../common/zc706/zc706_system_bd.tcl
M_DEPS += ../../common/zc706/zc706_plddr3_constr.xdc

View File

@ -7,6 +7,7 @@ PROJECT_NAME := daq3_zcu102
M_DEPS += ../common/daq3_spi.v
M_DEPS += ../common/daq3_bd.tcl
M_DEPS += ../../scripts/adi_pd.tcl
M_DEPS += ../../common/zcu102/zcu102_system_constr.xdc
M_DEPS += ../../common/zcu102/zcu102_system_bd.tcl
M_DEPS += ../../common/xilinx/dacfifo_bd.tcl

View File

@ -7,6 +7,7 @@ PROJECT_NAME := fmcadc2_vc707
M_DEPS += ../common/fmcadc2_spi.v
M_DEPS += ../common/fmcadc2_bd.tcl
M_DEPS += ../../scripts/adi_pd.tcl
M_DEPS += ../../common/xilinx/adcfifo_bd.tcl
M_DEPS += ../../common/vc707/vc707_system_mig.prj
M_DEPS += ../../common/vc707/vc707_system_constr.xdc

View File

@ -7,6 +7,7 @@ PROJECT_NAME := fmcadc2_zc706
M_DEPS += ../common/fmcadc2_spi.v
M_DEPS += ../common/fmcadc2_bd.tcl
M_DEPS += ../../scripts/adi_pd.tcl
M_DEPS += ../../common/zc706/zc706_system_constr.xdc
M_DEPS += ../../common/zc706/zc706_system_bd.tcl
M_DEPS += ../../common/zc706/zc706_plddr3_constr.xdc

View File

@ -7,6 +7,7 @@ PROJECT_NAME := fmcadc5_vc707
M_DEPS += ../common/fmcadc5_spi.v
M_DEPS += ../common/fmcadc5_bd.tcl
M_DEPS += ../../scripts/adi_pd.tcl
M_DEPS += ../../common/xilinx/adcfifo_bd.tcl
M_DEPS += ../../common/vc707/vc707_system_mig.prj
M_DEPS += ../../common/vc707/vc707_system_constr.xdc

View File

@ -7,6 +7,7 @@ PROJECT_NAME := fmcjesdadc1_kc705
M_DEPS += ../common/fmcjesdadc1_spi.v
M_DEPS += ../common/fmcjesdadc1_bd.tcl
M_DEPS += ../../scripts/adi_pd.tcl
M_DEPS += ../../common/kc705/kc705_system_mig.prj
M_DEPS += ../../common/kc705/kc705_system_constr.xdc
M_DEPS += ../../common/kc705/kc705_system_bd.tcl

View File

@ -7,6 +7,7 @@ PROJECT_NAME := fmcjesdadc1_vc707
M_DEPS += ../common/fmcjesdadc1_spi.v
M_DEPS += ../common/fmcjesdadc1_bd.tcl
M_DEPS += ../../scripts/adi_pd.tcl
M_DEPS += ../../common/vc707/vc707_system_mig.prj
M_DEPS += ../../common/vc707/vc707_system_constr.xdc
M_DEPS += ../../common/vc707/vc707_system_bd.tcl

View File

@ -7,6 +7,7 @@ PROJECT_NAME := fmcjesdadc1_zc706
M_DEPS += ../common/fmcjesdadc1_spi.v
M_DEPS += ../common/fmcjesdadc1_bd.tcl
M_DEPS += ../../scripts/adi_pd.tcl
M_DEPS += ../../common/zc706/zc706_system_constr.xdc
M_DEPS += ../../common/zc706/zc706_system_bd.tcl
M_DEPS += ../../../library/jesd204/scripts/jesd204.tcl

View File

@ -7,6 +7,7 @@ PROJECT_NAME := fmcomms11_zc706
M_DEPS += ../common/fmcomms11_spi.v
M_DEPS += ../common/fmcomms11_bd.tcl
M_DEPS += ../../scripts/adi_pd.tcl
M_DEPS += ../../common/zc706/zc706_system_constr.xdc
M_DEPS += ../../common/zc706/zc706_system_bd.tcl
M_DEPS += ../../common/zc706/zc706_plddr3_constr.xdc

View File

@ -6,6 +6,7 @@
PROJECT_NAME := fmcomms2_kc705
M_DEPS += ../common/fmcomms2_bd.tcl
M_DEPS += ../../scripts/adi_pd.tcl
M_DEPS += ../../common/kc705/kc705_system_mig.prj
M_DEPS += ../../common/kc705/kc705_system_constr.xdc
M_DEPS += ../../common/kc705/kc705_system_bd.tcl

View File

@ -6,6 +6,7 @@
PROJECT_NAME := fmcomms2_kcu105
M_DEPS += ../common/fmcomms2_bd.tcl
M_DEPS += ../../scripts/adi_pd.tcl
M_DEPS += ../../common/kcu105/kcu105_system_mig.tcl
M_DEPS += ../../common/kcu105/kcu105_system_lutram_constr.xdc
M_DEPS += ../../common/kcu105/kcu105_system_constr.xdc

View File

@ -6,6 +6,7 @@
PROJECT_NAME := fmcomms2_vc707
M_DEPS += ../common/fmcomms2_bd.tcl
M_DEPS += ../../scripts/adi_pd.tcl
M_DEPS += ../../common/vc707/vc707_system_mig.prj
M_DEPS += ../../common/vc707/vc707_system_constr.xdc
M_DEPS += ../../common/vc707/vc707_system_bd.tcl

View File

@ -6,6 +6,7 @@
PROJECT_NAME := fmcomms2_zc702
M_DEPS += ../common/fmcomms2_bd.tcl
M_DEPS += ../../scripts/adi_pd.tcl
M_DEPS += ../../common/zc702/zc702_system_constr.xdc
M_DEPS += ../../common/zc702/zc702_system_bd.tcl
M_DEPS += ../../../library/common/ad_iobuf.v

View File

@ -6,6 +6,7 @@
PROJECT_NAME := fmcomms2_zc706
M_DEPS += ../common/fmcomms2_bd.tcl
M_DEPS += ../../scripts/adi_pd.tcl
M_DEPS += ../../common/zc706/zc706_system_constr.xdc
M_DEPS += ../../common/zc706/zc706_system_bd.tcl
M_DEPS += ../../../library/common/ad_iobuf.v

View File

@ -6,6 +6,7 @@
PROJECT_NAME := fmcomms2_zcu102
M_DEPS += ../common/fmcomms2_bd.tcl
M_DEPS += ../../scripts/adi_pd.tcl
M_DEPS += ../../common/zcu102/zcu102_system_constr.xdc
M_DEPS += ../../common/zcu102/zcu102_system_bd.tcl
M_DEPS += ../../../library/common/ad_iobuf.v

View File

@ -6,6 +6,7 @@
PROJECT_NAME := fmcomms2_zed
M_DEPS += ../common/fmcomms2_bd.tcl
M_DEPS += ../../scripts/adi_pd.tcl
M_DEPS += ../../common/zed/zed_system_constr.xdc
M_DEPS += ../../common/zed/zed_system_bd.tcl
M_DEPS += ../../../library/common/ad_iobuf.v

View File

@ -6,6 +6,7 @@
PROJECT_NAME := fmcomms5_zc702
M_DEPS += ../common/fmcomms5_bd.tcl
M_DEPS += ../../scripts/adi_pd.tcl
M_DEPS += ../../common/zc702/zc702_system_constr.xdc
M_DEPS += ../../common/zc702/zc702_system_bd.tcl
M_DEPS += ../../../library/common/ad_iobuf.v

View File

@ -6,6 +6,7 @@
PROJECT_NAME := fmcomms5_zc706
M_DEPS += ../common/fmcomms5_bd.tcl
M_DEPS += ../../scripts/adi_pd.tcl
M_DEPS += ../../common/zc706/zc706_system_constr.xdc
M_DEPS += ../../common/zc706/zc706_system_bd.tcl
M_DEPS += ../../../library/common/ad_iobuf.v

View File

@ -6,6 +6,7 @@
PROJECT_NAME := fmcomms5_zcu102
M_DEPS += ../common/fmcomms5_bd.tcl
M_DEPS += ../../scripts/adi_pd.tcl
M_DEPS += ../../common/zcu102/zcu102_system_constr.xdc
M_DEPS += ../../common/zcu102/zcu102_system_bd.tcl
M_DEPS += ../../../library/common/ad_iobuf.v

View File

@ -7,7 +7,7 @@ PROJECT_NAME := fmcomms8_a10soc
M_DEPS += ../common/fmcomms8_spi.v
M_DEPS += ../common/fmcomms8_qsys.tcl
M_DEPS += ../../scripts/adi_pd_intel.tcl
M_DEPS += ../../scripts/adi_pd.tcl
M_DEPS += ../../common/a10soc/a10soc_system_qsys.tcl
M_DEPS += ../../common/a10soc/a10soc_system_assign.tcl
M_DEPS += ../../common/a10soc/a10soc_plddr4_dacfifo_qsys.tcl

View File

@ -7,6 +7,7 @@ PROJECT_NAME := fmcomms8_zcu102
M_DEPS += ../common/fmcomms8_spi.v
M_DEPS += ../common/fmcomms8_bd.tcl
M_DEPS += ../../scripts/adi_pd.tcl
M_DEPS += ../../common/zcu102/zcu102_system_constr.xdc
M_DEPS += ../../common/zcu102/zcu102_system_bd.tcl
M_DEPS += ../../common/xilinx/dacfifo_bd.tcl

View File

@ -6,6 +6,7 @@
PROJECT_NAME := imageon_zed
M_DEPS += ../common/imageon_bd.tcl
M_DEPS += ../../scripts/adi_pd.tcl
M_DEPS += ../../common/zed/zed_system_bd.tcl
M_DEPS += ../../../library/common/ad_iobuf.v