From 6ebb32a1943fa61eaf0bc07b035433eb7e7c2d73 Mon Sep 17 00:00:00 2001 From: Shrutika Redkar Date: Fri, 22 Jul 2016 12:54:27 -0400 Subject: [PATCH] library axi-slave missing protection signal added --- library/axi_ad6676/axi_ad6676.v | 7 ++++++- library/axi_ad7616/axi_ad7616.v | 5 +++++ library/axi_ad9122/axi_ad9122.v | 7 ++++++- library/axi_ad9234/axi_ad9234.v | 7 ++++++- library/axi_ad9265/axi_ad9265.v | 7 ++++++- library/axi_ad9434/axi_ad9434.v | 7 ++++++- library/axi_ad9467/axi_ad9467.v | 5 +++++ library/axi_ad9625/axi_ad9625.v | 7 ++++++- library/axi_ad9643/axi_ad9643.v | 7 ++++++- library/axi_ad9652/axi_ad9652.v | 7 ++++++- library/axi_ad9684/axi_ad9684.v | 5 +++++ library/axi_ad9739a/axi_ad9739a.v | 7 ++++++- library/axi_clkgen/axi_clkgen.v | 8 +++++++- library/axi_generic_adc/axi_generic_adc.v | 5 ++++- library/axi_gpreg/axi_gpreg.v | 5 ++++- library/axi_hdmi_rx/axi_hdmi_rx.v | 7 ++++++- library/axi_i2s_adi/axi_i2s_adi.vhd | 5 ++++- library/axi_jesd_gt/axi_jesd_gt.v | 2 ++ library/axi_mc_controller/axi_mc_controller.v | 5 ++++- library/axi_mc_current_monitor/axi_mc_current_monitor.v | 5 ++++- library/axi_mc_speed/axi_mc_speed.v | 5 ++++- library/axi_spdif_rx/axi_spdif_rx.vhd | 3 +++ library/axi_spdif_tx/axi_spdif_tx.vhd | 2 ++ library/axi_usb_fx3/axi_usb_fx3.v | 4 ++++ .../cn0363_dma_sequencer/cn0363_dma_sequencer_ip.tcl | 2 +- library/util_pmod_fmeter/util_pmod_fmeter.v | 7 ++++++- 26 files changed, 124 insertions(+), 19 deletions(-) diff --git a/library/axi_ad6676/axi_ad6676.v b/library/axi_ad6676/axi_ad6676.v index a55b8039d..7131e97fa 100755 --- a/library/axi_ad6676/axi_ad6676.v +++ b/library/axi_ad6676/axi_ad6676.v @@ -80,7 +80,9 @@ module axi_ad6676 ( s_axi_rvalid, s_axi_rresp, s_axi_rdata, - s_axi_rready); + s_axi_rready, + s_axi_awprot, + s_axi_arprot); parameter ID = 0; parameter DEVICE_TYPE = 0; @@ -126,6 +128,9 @@ module axi_ad6676 ( output [ 1:0] s_axi_rresp; output [31:0] s_axi_rdata; input s_axi_rready; + input [ 2:0] s_axi_awprot; + input [ 2:0] s_axi_arprot; + // internal registers diff --git a/library/axi_ad7616/axi_ad7616.v b/library/axi_ad7616/axi_ad7616.v index 97da48a65..8027d41c5 100644 --- a/library/axi_ad7616/axi_ad7616.v +++ b/library/axi_ad7616/axi_ad7616.v @@ -66,6 +66,7 @@ module axi_ad7616 ( s_axi_aresetn, s_axi_awvalid, s_axi_awaddr, + s_axi_awprot, s_axi_awready, s_axi_wvalid, s_axi_wdata, @@ -76,6 +77,7 @@ module axi_ad7616 ( s_axi_bready, s_axi_arvalid, s_axi_araddr, + s_axi_arprot, s_axi_arready, s_axi_rvalid, s_axi_rresp, @@ -140,6 +142,9 @@ module axi_ad7616 ( output [ 1:0] s_axi_rresp; output [31:0] s_axi_rdata; input s_axi_rready; + input [ 2:0] s_axi_awprot; + input [ 2:0] s_axi_arprot; + output adc_valid; output [15:0] adc_data; diff --git a/library/axi_ad9122/axi_ad9122.v b/library/axi_ad9122/axi_ad9122.v index 9239c0575..69eb7d9f5 100644 --- a/library/axi_ad9122/axi_ad9122.v +++ b/library/axi_ad9122/axi_ad9122.v @@ -87,7 +87,9 @@ module axi_ad9122 ( s_axi_rvalid, s_axi_rdata, s_axi_rresp, - s_axi_rready); + s_axi_rready, + s_axi_awprot, + s_axi_arprot); // parameters @@ -152,6 +154,9 @@ module axi_ad9122 ( output [31:0] s_axi_rdata; output [ 1:0] s_axi_rresp; input s_axi_rready; + input [ 2:0] s_axi_awprot; + input [ 2:0] s_axi_arprot; + // internal clocks and resets diff --git a/library/axi_ad9234/axi_ad9234.v b/library/axi_ad9234/axi_ad9234.v index 202909deb..53680519b 100644 --- a/library/axi_ad9234/axi_ad9234.v +++ b/library/axi_ad9234/axi_ad9234.v @@ -79,7 +79,9 @@ module axi_ad9234 ( s_axi_rvalid, s_axi_rresp, s_axi_rdata, - s_axi_rready); + s_axi_rready, + s_axi_awprot, + s_axi_arprot); parameter ID = 0; parameter DEVICE_TYPE = 0; @@ -124,6 +126,9 @@ module axi_ad9234 ( output [ 1:0] s_axi_rresp; output [31:0] s_axi_rdata; input s_axi_rready; + input [ 2:0] s_axi_awprot; + input [ 2:0] s_axi_arprot; + // internal registers diff --git a/library/axi_ad9265/axi_ad9265.v b/library/axi_ad9265/axi_ad9265.v index 0e00ddbaa..1afb8111a 100644 --- a/library/axi_ad9265/axi_ad9265.v +++ b/library/axi_ad9265/axi_ad9265.v @@ -84,7 +84,9 @@ module axi_ad9265 ( s_axi_rvalid, s_axi_rresp, s_axi_rdata, - s_axi_rready); + s_axi_rready, + s_axi_awprot, + s_axi_arprot); // parameters @@ -137,6 +139,9 @@ module axi_ad9265 ( output [ 1:0] s_axi_rresp; output [31:0] s_axi_rdata; input s_axi_rready; + input [ 2:0] s_axi_awprot; + input [ 2:0] s_axi_arprot; + // internal registers diff --git a/library/axi_ad9434/axi_ad9434.v b/library/axi_ad9434/axi_ad9434.v index 2a226e5e9..b8e9d96db 100644 --- a/library/axi_ad9434/axi_ad9434.v +++ b/library/axi_ad9434/axi_ad9434.v @@ -79,7 +79,9 @@ module axi_ad9434 ( s_axi_rvalid, s_axi_rresp, s_axi_rdata, - s_axi_rready); + s_axi_rready, + s_axi_awprot, + s_axi_arprot); // parameters @@ -128,6 +130,9 @@ module axi_ad9434 ( output [ 1:0] s_axi_rresp; output [31:0] s_axi_rdata; input s_axi_rready; + input [ 2:0] s_axi_awprot; + input [ 2:0] s_axi_arprot; + // internal clocks & resets wire adc_rst; diff --git a/library/axi_ad9467/axi_ad9467.v b/library/axi_ad9467/axi_ad9467.v index 63b1d4cbc..3d549a15c 100644 --- a/library/axi_ad9467/axi_ad9467.v +++ b/library/axi_ad9467/axi_ad9467.v @@ -69,6 +69,7 @@ module axi_ad9467( s_axi_aresetn, s_axi_awvalid, s_axi_awaddr, + s_axi_awprot, s_axi_awready, s_axi_wvalid, s_axi_wdata, @@ -79,6 +80,7 @@ module axi_ad9467( s_axi_bready, s_axi_arvalid, s_axi_araddr, + s_axi_arprot, s_axi_arready, s_axi_rvalid, s_axi_rresp, @@ -134,6 +136,9 @@ module axi_ad9467( output [ 1:0] s_axi_rresp; output [31:0] s_axi_rdata; input s_axi_rready; + input [ 2:0] s_axi_awprot; + input [ 2:0] s_axi_arprot; + // internal registers diff --git a/library/axi_ad9625/axi_ad9625.v b/library/axi_ad9625/axi_ad9625.v index 18ef759f6..8fd860fc3 100644 --- a/library/axi_ad9625/axi_ad9625.v +++ b/library/axi_ad9625/axi_ad9625.v @@ -80,7 +80,9 @@ module axi_ad9625 ( s_axi_rvalid, s_axi_rresp, s_axi_rdata, - s_axi_rready); + s_axi_rready, + s_axi_awprot, + s_axi_arprot); parameter ID = 0; parameter DEVICE_TYPE = 0; @@ -126,6 +128,9 @@ module axi_ad9625 ( output [ 1:0] s_axi_rresp; output [ 31:0] s_axi_rdata; input s_axi_rready; + input [ 2:0] s_axi_awprot; + input [ 2:0] s_axi_arprot; + // internal registers diff --git a/library/axi_ad9643/axi_ad9643.v b/library/axi_ad9643/axi_ad9643.v index 58aba5e54..312036814 100644 --- a/library/axi_ad9643/axi_ad9643.v +++ b/library/axi_ad9643/axi_ad9643.v @@ -87,7 +87,9 @@ module axi_ad9643 ( s_axi_rvalid, s_axi_rresp, s_axi_rdata, - s_axi_rready); + s_axi_rready, + s_axi_awprot, + s_axi_arprot); // parameters @@ -145,6 +147,9 @@ module axi_ad9643 ( output [ 1:0] s_axi_rresp; output [31:0] s_axi_rdata; input s_axi_rready; + input [ 2:0] s_axi_awprot; + input [ 2:0] s_axi_arprot; + // internal registers diff --git a/library/axi_ad9652/axi_ad9652.v b/library/axi_ad9652/axi_ad9652.v index 750c3c32a..f706e1494 100644 --- a/library/axi_ad9652/axi_ad9652.v +++ b/library/axi_ad9652/axi_ad9652.v @@ -87,7 +87,9 @@ module axi_ad9652 ( s_axi_rvalid, s_axi_rresp, s_axi_rdata, - s_axi_rready); + s_axi_rready, + s_axi_awprot, + s_axi_arprot); // parameters @@ -145,6 +147,9 @@ module axi_ad9652 ( output [ 1:0] s_axi_rresp; output [31:0] s_axi_rdata; input s_axi_rready; + input [ 2:0] s_axi_awprot; + input [ 2:0] s_axi_arprot; + // internal registers diff --git a/library/axi_ad9684/axi_ad9684.v b/library/axi_ad9684/axi_ad9684.v index be1072b71..010bcf5fd 100644 --- a/library/axi_ad9684/axi_ad9684.v +++ b/library/axi_ad9684/axi_ad9684.v @@ -74,6 +74,7 @@ module axi_ad9684 ( s_axi_aresetn, s_axi_awvalid, s_axi_awaddr, + s_axi_awprot, s_axi_awready, s_axi_wvalid, s_axi_wdata, @@ -84,6 +85,7 @@ module axi_ad9684 ( s_axi_bready, s_axi_arvalid, s_axi_araddr, + s_axi_arprot, s_axi_arready, s_axi_rvalid, s_axi_rresp, @@ -139,6 +141,9 @@ module axi_ad9684 ( output [ 1:0] s_axi_rresp; output [31:0] s_axi_rdata; input s_axi_rready; + input [ 2:0] s_axi_awprot; + input [ 2:0] s_axi_arprot; + // internal registers diff --git a/library/axi_ad9739a/axi_ad9739a.v b/library/axi_ad9739a/axi_ad9739a.v index e357648a5..c7e2beead 100644 --- a/library/axi_ad9739a/axi_ad9739a.v +++ b/library/axi_ad9739a/axi_ad9739a.v @@ -81,7 +81,9 @@ module axi_ad9739a ( s_axi_rvalid, s_axi_rdata, s_axi_rresp, - s_axi_rready); + s_axi_rready, + s_axi_awprot, + s_axi_arprot); // parameters @@ -133,6 +135,9 @@ module axi_ad9739a ( output [ 31:0] s_axi_rdata; output [ 1:0] s_axi_rresp; input s_axi_rready; + input [ 2:0] s_axi_awprot; + input [ 2:0] s_axi_arprot; + // internal clocks and resets diff --git a/library/axi_clkgen/axi_clkgen.v b/library/axi_clkgen/axi_clkgen.v index 8d18ee7c7..2a5586983 100644 --- a/library/axi_clkgen/axi_clkgen.v +++ b/library/axi_clkgen/axi_clkgen.v @@ -65,7 +65,9 @@ module axi_clkgen ( s_axi_rvalid, s_axi_rdata, s_axi_rresp, - s_axi_rready); + s_axi_rready, + s_axi_awprot, + s_axi_arprot); // parameters @@ -110,6 +112,10 @@ module axi_clkgen ( output [31:0] s_axi_rdata; output [ 1:0] s_axi_rresp; input s_axi_rready; + input [ 2:0] s_axi_awprot; + input [ 2:0] s_axi_arprot; + + // reset and clocks diff --git a/library/axi_generic_adc/axi_generic_adc.v b/library/axi_generic_adc/axi_generic_adc.v index 7e747eb04..c466ec2eb 100644 --- a/library/axi_generic_adc/axi_generic_adc.v +++ b/library/axi_generic_adc/axi_generic_adc.v @@ -21,7 +21,10 @@ module axi_generic_adc ( output s_axi_rvalid, output [ 1:0] s_axi_rresp, output [31:0] s_axi_rdata, - input s_axi_rready + input s_axi_rready, + input [ 2:0] s_axi_awprot, + input [ 2:0] s_axi_arprot + ); parameter NUM_OF_CHANNELS = 2; diff --git a/library/axi_gpreg/axi_gpreg.v b/library/axi_gpreg/axi_gpreg.v index 8b5d5852e..30a8471a3 100644 --- a/library/axi_gpreg/axi_gpreg.v +++ b/library/axi_gpreg/axi_gpreg.v @@ -111,7 +111,10 @@ module axi_gpreg #( output s_axi_rvalid, output [ 31:0] s_axi_rdata, output [ 1:0] s_axi_rresp, - input s_axi_rready); + input s_axi_rready, + input [ 2:0] s_axi_awprot, + input [ 2:0] s_axi_arprot); + // version diff --git a/library/axi_hdmi_rx/axi_hdmi_rx.v b/library/axi_hdmi_rx/axi_hdmi_rx.v index afc20774e..3b6523f82 100644 --- a/library/axi_hdmi_rx/axi_hdmi_rx.v +++ b/library/axi_hdmi_rx/axi_hdmi_rx.v @@ -73,7 +73,9 @@ module axi_hdmi_rx ( s_axi_rvalid, s_axi_rresp, s_axi_rdata, - s_axi_rready); + s_axi_rready, + s_axi_awprot, + s_axi_arprot); // parameters @@ -114,6 +116,9 @@ module axi_hdmi_rx ( output [ 1:0] s_axi_rresp; output [31:0] s_axi_rdata; input s_axi_rready; + input [ 2:0] s_axi_awprot; + input [ 2:0] s_axi_arprot; + // internal signals diff --git a/library/axi_i2s_adi/axi_i2s_adi.vhd b/library/axi_i2s_adi/axi_i2s_adi.vhd index 265cea358..90703fc3c 100644 --- a/library/axi_i2s_adi/axi_i2s_adi.vhd +++ b/library/axi_i2s_adi/axi_i2s_adi.vhd @@ -101,7 +101,10 @@ entity axi_i2s_adi is S_AXI_WREADY : out std_logic; S_AXI_BRESP : out std_logic_vector(1 downto 0); S_AXI_BVALID : out std_logic; - S_AXI_AWREADY : out std_logic + S_AXI_AWREADY : out std_logic; + S_AXI_AWPROT : in std_logic_vector(2 downto 0); + S_AXI_ARPROT : in std_logic_vector(2 downto 0) + ); end entity axi_i2s_adi; diff --git a/library/axi_jesd_gt/axi_jesd_gt.v b/library/axi_jesd_gt/axi_jesd_gt.v index 0612f9e6b..bb9030060 100644 --- a/library/axi_jesd_gt/axi_jesd_gt.v +++ b/library/axi_jesd_gt/axi_jesd_gt.v @@ -690,6 +690,8 @@ module axi_jesd_gt #( output [ 31:0] s_axi_rdata, output [ 1:0] s_axi_rresp, input s_axi_rready, + input [ 2:0] s_axi_awprot, + input [ 2:0] s_axi_arprot, // master interface diff --git a/library/axi_mc_controller/axi_mc_controller.v b/library/axi_mc_controller/axi_mc_controller.v index e802feef8..2f7d79688 100644 --- a/library/axi_mc_controller/axi_mc_controller.v +++ b/library/axi_mc_controller/axi_mc_controller.v @@ -84,7 +84,10 @@ module axi_mc_controller output s_axi_rvalid, output [1:0] s_axi_rresp, output [31:0] s_axi_rdata, - input s_axi_rready + input s_axi_rready, + input [ 2:0] s_axi_awprot, + input [ 2:0] s_axi_arprot + ); //------------------------------------------------------------------------------ diff --git a/library/axi_mc_current_monitor/axi_mc_current_monitor.v b/library/axi_mc_current_monitor/axi_mc_current_monitor.v index 71585d809..bc735f0d5 100644 --- a/library/axi_mc_current_monitor/axi_mc_current_monitor.v +++ b/library/axi_mc_current_monitor/axi_mc_current_monitor.v @@ -77,7 +77,10 @@ module axi_mc_current_monitor ( output s_axi_rvalid, output [1:0] s_axi_rresp, output [31:0] s_axi_rdata, - input s_axi_rready + input s_axi_rready, + input [ 2:0] s_axi_awprot, + input [ 2:0] s_axi_arprot + ); //------------------------------------------------------------------------------ diff --git a/library/axi_mc_speed/axi_mc_speed.v b/library/axi_mc_speed/axi_mc_speed.v index eae590835..4bd6cb0b9 100644 --- a/library/axi_mc_speed/axi_mc_speed.v +++ b/library/axi_mc_speed/axi_mc_speed.v @@ -68,7 +68,10 @@ module axi_mc_speed output s_axi_rvalid, output [ 1:0] s_axi_rresp, output [31:0] s_axi_rdata, - input s_axi_rready); + input s_axi_rready, + input [ 2:0] s_axi_awprot, + input [ 2:0] s_axi_arprot +); //------------------------------------------------------------------------------ //----------- Registers Declarations ------------------------------------------- diff --git a/library/axi_spdif_rx/axi_spdif_rx.vhd b/library/axi_spdif_rx/axi_spdif_rx.vhd index 881f02470..5738ab596 100644 --- a/library/axi_spdif_rx/axi_spdif_rx.vhd +++ b/library/axi_spdif_rx/axi_spdif_rx.vhd @@ -84,6 +84,9 @@ entity axi_spdif_rx is S_AXI_BRESP : out std_logic_vector(1 downto 0); S_AXI_BVALID : out std_logic; S_AXI_AWREADY : out std_logic; + S_AXI_AWPROT : in std_logic_vector(2 downto 0); + S_AXI_ARPROT : in std_logic_vector(2 downto 0); + --AXI STREAM interface M_AXIS_ACLK : in std_logic; diff --git a/library/axi_spdif_tx/axi_spdif_tx.vhd b/library/axi_spdif_tx/axi_spdif_tx.vhd index 2a0d1d2d5..eedf3ca9e 100644 --- a/library/axi_spdif_tx/axi_spdif_tx.vhd +++ b/library/axi_spdif_tx/axi_spdif_tx.vhd @@ -63,12 +63,14 @@ entity axi_spdif_tx is S_AXI_ACLK : in std_logic; S_AXI_ARESETN : in std_logic; S_AXI_AWADDR : in std_logic_vector(S_AXI_ADDRESS_WIDTH-1 downto 0); + S_AXI_AWPROT : in std_logic_vector(2 downto 0); S_AXI_AWVALID : in std_logic; S_AXI_WDATA : in std_logic_vector(S_AXI_DATA_WIDTH-1 downto 0); S_AXI_WSTRB : in std_logic_vector((S_AXI_DATA_WIDTH/8)-1 downto 0); S_AXI_WVALID : in std_logic; S_AXI_BREADY : in std_logic; S_AXI_ARADDR : in std_logic_vector(S_AXI_ADDRESS_WIDTH-1 downto 0); + S_AXI_ARPROT : in std_logic_vector(2 downto 0); S_AXI_ARVALID : in std_logic; S_AXI_RREADY : in std_logic; S_AXI_ARREADY : out std_logic; diff --git a/library/axi_usb_fx3/axi_usb_fx3.v b/library/axi_usb_fx3/axi_usb_fx3.v index 65cfbb2a1..d409b42b4 100644 --- a/library/axi_usb_fx3/axi_usb_fx3.v +++ b/library/axi_usb_fx3/axi_usb_fx3.v @@ -91,6 +91,7 @@ module axi_usb_fx3 ( s_axi_aresetn, s_axi_awvalid, s_axi_awaddr, + s_axi_awprot, s_axi_awready, s_axi_wvalid, s_axi_wdata, @@ -101,6 +102,7 @@ module axi_usb_fx3 ( s_axi_bready, s_axi_arvalid, s_axi_araddr, + s_axi_arprot, s_axi_arready, s_axi_rvalid, s_axi_rresp, @@ -157,6 +159,7 @@ module axi_usb_fx3 ( input s_axi_aresetn; input s_axi_awvalid; input [31:0] s_axi_awaddr; + input [ 2:0] s_axi_awprot; output s_axi_awready; input s_axi_wvalid; input [31:0] s_axi_wdata; @@ -167,6 +170,7 @@ module axi_usb_fx3 ( input s_axi_bready; input s_axi_arvalid; input [31:0] s_axi_araddr; + input [ 2:0] s_axi_arprot; output s_axi_arready; output s_axi_rvalid; output [ 1:0] s_axi_rresp; diff --git a/library/cn0363/cn0363_dma_sequencer/cn0363_dma_sequencer_ip.tcl b/library/cn0363/cn0363_dma_sequencer/cn0363_dma_sequencer_ip.tcl index 77d41a487..4556af8ea 100644 --- a/library/cn0363/cn0363_dma_sequencer/cn0363_dma_sequencer_ip.tcl +++ b/library/cn0363/cn0363_dma_sequencer/cn0363_dma_sequencer_ip.tcl @@ -67,6 +67,6 @@ adi_add_bus "dma_wr" "master" \ } -adi_add_bus_clock "clk" "phase:data:data_filtered:i_q:i_q_filtered:dma_wr" "resetn:processing_resetn" +adi_add_bus_clock "clk" "phase:data:data_filtered:i_q:i_q_filtered:dma_wr" "processing_resetn" ipx::save_core [ipx::current_core] diff --git a/library/util_pmod_fmeter/util_pmod_fmeter.v b/library/util_pmod_fmeter/util_pmod_fmeter.v index da5dc5819..8cae03366 100644 --- a/library/util_pmod_fmeter/util_pmod_fmeter.v +++ b/library/util_pmod_fmeter/util_pmod_fmeter.v @@ -62,7 +62,9 @@ module util_pmod_fmeter ( s_axi_rvalid, s_axi_rresp, s_axi_rdata, - s_axi_rready); + s_axi_rready, + s_axi_awprot, + s_axi_arprot); // parameters @@ -94,6 +96,9 @@ module util_pmod_fmeter ( output [ 1:0] s_axi_rresp; output [31:0] s_axi_rdata; input s_axi_rready; + input [ 2:0] s_axi_awprot; + input [ 2:0] s_axi_arprot; + // internal signals