From 6c6cab0e16fb0a64e497308d03afc540826e8d78 Mon Sep 17 00:00:00 2001 From: Adrian Costina Date: Fri, 1 Aug 2014 17:34:36 +0300 Subject: [PATCH] fmcomms2: ZC706 modified constraints for linux build machines The added constraints allow the project to successfully pass timing on some ubuntu or debian build machines. --- projects/common/zc706/zc706_system_constr.xdc | 5 +++++ projects/fmcomms2/zc706/system_constr.xdc | 2 ++ 2 files changed, 7 insertions(+) diff --git a/projects/common/zc706/zc706_system_constr.xdc b/projects/common/zc706/zc706_system_constr.xdc index 1eb1c2adb..a20be0fab 100644 --- a/projects/common/zc706/zc706_system_constr.xdc +++ b/projects/common/zc706/zc706_system_constr.xdc @@ -67,8 +67,13 @@ create_clock -name m200_clk -period 5.00 [get_pins i_system_wrapper/system_ create_clock -name hdmi_clk -period 6.73 [get_pins i_system_wrapper/system_i/axi_hdmi_clkgen/clk_0] create_clock -name spdif_clk -period 50.00 [get_pins i_system_wrapper/system_i/sys_audio_clkgen/clk_out1] +create_clock -name ps7_clk_0 -period 10.00 [get_pins i_system_wrapper/system_i/sys_ps7/inst/PS7_i/FCLKCLK[0]] +create_clock -name ps7_clk_1 -period 10.00 [get_pins i_system_wrapper/system_i/sys_ps7/inst/PS7_i/FCLKCLK[1]] + set_clock_groups -asynchronous -group {cpu_clk} set_clock_groups -asynchronous -group {m200_clk} set_clock_groups -asynchronous -group {hdmi_clk} set_clock_groups -asynchronous -group {spdif_clk} +set_clock_groups -asynchronous -group {ps7_clk_0} +set_clock_groups -asynchronous -group {ps7_clk_1} diff --git a/projects/fmcomms2/zc706/system_constr.xdc b/projects/fmcomms2/zc706/system_constr.xdc index d86a6d387..8221b842d 100755 --- a/projects/fmcomms2/zc706/system_constr.xdc +++ b/projects/fmcomms2/zc706/system_constr.xdc @@ -63,7 +63,9 @@ set_property -dict {PACKAGE_PIN AJ29 IOSTANDARD LVCMOS25} [get_ports spi_miso create_clock -name rx_clk -period 4.00 [get_ports rx_clk_in_p] create_clock -name ad9361_clk -period 4.00 [get_pins i_system_wrapper/system_i/axi_ad9361/clk] create_clock -name fmc_dma_clk -period 10.00 [get_pins i_system_wrapper/system_i/sys_ps7/FCLK_CLK2] +create_clock -name ps7_clk_2 -period 10.00 [get_pins i_system_wrapper/system_i/sys_ps7/inst/PS7_i/FCLKCLK[2]] set_clock_groups -asynchronous -group {ad9361_clk} set_clock_groups -asynchronous -group {fmc_dma_clk} +set_clock_groups -asynchronous -group {ps7_clk_2}