ad5758_sdz/zed: system constraint file cleanup

removed redundant PACKAGE_PIN properties
main
Laszlo Nagy 2018-04-11 16:39:35 +01:00 committed by István Csomortáni
parent 0f2a1e7602
commit 6b110b6fb8
1 changed files with 19 additions and 19 deletions

View File

@ -14,28 +14,28 @@ set_property -dict {PACKAGE_PIN F18 IOSTANDARD LVCMOS33} [get_ports dac_ldac_n]
# Reconfigure the pins from Bank 34 and Bank 35 to use LVCMOS33 since VADJ must be set to 3.3V
# otg
set_property -dict {PACKAGE_PIN L16 IOSTANDARD LVCMOS33} [get_ports otg_vbusoc]
set_property IOSTANDARD LVCMOS33 [get_ports otg_vbusoc]
# gpio (switches, leds and such)
set_property -dict {PACKAGE_PIN P16 IOSTANDARD LVCMOS33} [get_ports gpio_bd[0]] ; ## BTNC
set_property -dict {PACKAGE_PIN R16 IOSTANDARD LVCMOS33} [get_ports gpio_bd[1]] ; ## BTND
set_property -dict {PACKAGE_PIN N15 IOSTANDARD LVCMOS33} [get_ports gpio_bd[2]] ; ## BTNL
set_property -dict {PACKAGE_PIN R18 IOSTANDARD LVCMOS33} [get_ports gpio_bd[3]] ; ## BTNR
set_property -dict {PACKAGE_PIN T18 IOSTANDARD LVCMOS33} [get_ports gpio_bd[4]] ; ## BTNU
set_property IOSTANDARD LVCMOS33 [get_ports gpio_bd[0]] ; ## BTNC
set_property IOSTANDARD LVCMOS33 [get_ports gpio_bd[1]] ; ## BTND
set_property IOSTANDARD LVCMOS33 [get_ports gpio_bd[2]] ; ## BTNL
set_property IOSTANDARD LVCMOS33 [get_ports gpio_bd[3]] ; ## BTNR
set_property IOSTANDARD LVCMOS33 [get_ports gpio_bd[4]] ; ## BTNU
set_property -dict {PACKAGE_PIN F22 IOSTANDARD LVCMOS33} [get_ports gpio_bd[11]] ; ## SW0
set_property -dict {PACKAGE_PIN G22 IOSTANDARD LVCMOS33} [get_ports gpio_bd[12]] ; ## SW1
set_property -dict {PACKAGE_PIN H22 IOSTANDARD LVCMOS33} [get_ports gpio_bd[13]] ; ## SW2
set_property -dict {PACKAGE_PIN F21 IOSTANDARD LVCMOS33} [get_ports gpio_bd[14]] ; ## SW3
set_property -dict {PACKAGE_PIN H19 IOSTANDARD LVCMOS33} [get_ports gpio_bd[15]] ; ## SW4
set_property -dict {PACKAGE_PIN H18 IOSTANDARD LVCMOS33} [get_ports gpio_bd[16]] ; ## SW5
set_property -dict {PACKAGE_PIN H17 IOSTANDARD LVCMOS33} [get_ports gpio_bd[17]] ; ## SW6
set_property -dict {PACKAGE_PIN M15 IOSTANDARD LVCMOS33} [get_ports gpio_bd[18]] ; ## SW7
set_property IOSTANDARD LVCMOS33 [get_ports gpio_bd[11]] ; ## SW0
set_property IOSTANDARD LVCMOS33 [get_ports gpio_bd[12]] ; ## SW1
set_property IOSTANDARD LVCMOS33 [get_ports gpio_bd[13]] ; ## SW2
set_property IOSTANDARD LVCMOS33 [get_ports gpio_bd[14]] ; ## SW3
set_property IOSTANDARD LVCMOS33 [get_ports gpio_bd[15]] ; ## SW4
set_property IOSTANDARD LVCMOS33 [get_ports gpio_bd[16]] ; ## SW5
set_property IOSTANDARD LVCMOS33 [get_ports gpio_bd[17]] ; ## SW6
set_property IOSTANDARD LVCMOS33 [get_ports gpio_bd[18]] ; ## SW7
set_property -dict {PACKAGE_PIN H15 IOSTANDARD LVCMOS33} [get_ports gpio_bd[27]] ; ## XADC-GIO0
set_property -dict {PACKAGE_PIN R15 IOSTANDARD LVCMOS33} [get_ports gpio_bd[28]] ; ## XADC-GIO1
set_property -dict {PACKAGE_PIN K15 IOSTANDARD LVCMOS33} [get_ports gpio_bd[29]] ; ## XADC-GIO2
set_property -dict {PACKAGE_PIN J15 IOSTANDARD LVCMOS33} [get_ports gpio_bd[30]] ; ## XADC-GIO3
set_property IOSTANDARD LVCMOS33 [get_ports gpio_bd[27]] ; ## XADC-GIO0
set_property IOSTANDARD LVCMOS33 [get_ports gpio_bd[28]] ; ## XADC-GIO1
set_property IOSTANDARD LVCMOS33 [get_ports gpio_bd[29]] ; ## XADC-GIO2
set_property IOSTANDARD LVCMOS33 [get_ports gpio_bd[30]] ; ## XADC-GIO3
set_property -dict {PACKAGE_PIN G17 IOSTANDARD LVCMOS33} [get_ports gpio_bd[31]] ; ## OTG-RESETN
set_property IOSTANDARD LVCMOS33 [get_ports gpio_bd[31]] ; ## OTG-RESETN