fmcomms6_fmc: Update interrupts

main
Istvan Csomortani 2014-11-24 18:22:21 +02:00
parent 56aefb62ed
commit 660424ef99
2 changed files with 25 additions and 5 deletions

View File

@ -36,6 +36,12 @@ if {$sys_zynq == 0} {
set gpio_fmcomms6_t [create_bd_port -dir O gpio_fmcomms6_t]
}
# interrupts
set fmcomms6_dma_irq [create_bd_port -dir O fmcomms6_dma_irq]
set fmcomms6_spi_irq [create_bd_port -dir O fmcomms6_spi_irq]
set fmcomms6_gpio_irq [create_bd_port -dir O fmcomms6_gpio_irq]
# dma interface
set adc_clk [create_bd_port -dir O adc_clk]
@ -99,8 +105,6 @@ if {$sys_zynq == 1} {
set_property -dict [list CONFIG.NUM_MI {11}] $axi_cpu_interconnect
set_property -dict [list CONFIG.NUM_SI {9}] $axi_mem_interconnect
delete_bd_objs [get_bd_nets sys_concat_intc_din_2] [get_bd_ports unc_int2]
delete_bd_objs [get_bd_nets sys_concat_intc_din_3] [get_bd_ports unc_int3]
}
# connections (spi and gpio)
@ -131,8 +135,8 @@ if {$sys_zynq == 1 } {
connect_bd_net -net gpio_fmcomms6_o [get_bd_ports gpio_fmcomms6_o] [get_bd_pins axi_fmcomms6_gpio/gpio_io_o]
connect_bd_net -net gpio_fmcomms6_t [get_bd_ports gpio_fmcomms6_t] [get_bd_pins axi_fmcomms6_gpio/gpio_io_t]
connect_bd_net -net axi_fmcomms6_spi_irq [get_bd_pins axi_fmcomms6_spi/ip2intc_irpt] [get_bd_pins sys_concat_intc/In5]
connect_bd_net -net axi_fmcomms6_gpio_irq [get_bd_pins axi_fmcomms6_gpio/ip2intc_irpt] [get_bd_pins sys_concat_intc/In6]
connect_bd_net -net axi_fmcomms6_spi_irq [get_bd_pins axi_fmcomms6_spi/ip2intc_irpt] [get_bd_ports fmcomms6_spi_irq]
connect_bd_net -net axi_fmcomms6_gpio_irq [get_bd_pins axi_fmcomms6_gpio/ip2intc_irpt] [get_bd_ports fmcomms6_gpio_irq]
}
# connections (adc)
@ -165,7 +169,7 @@ connect_bd_net -net axi_ad9652_dma_dwr [get_bd_pins sys_wfifo/s_wr]
connect_bd_net -net axi_ad9652_dma_dsync [get_bd_ports adc_dma_sync] [get_bd_pins axi_ad9652_dma/fifo_wr_sync]
connect_bd_net -net axi_ad9652_dma_ddata [get_bd_pins sys_wfifo/s_wdata] [get_bd_pins axi_ad9652_dma/fifo_wr_din]
connect_bd_net -net axi_ad9652_dma_dovf [get_bd_pins sys_wfifo/s_wovf] [get_bd_pins axi_ad9652_dma/fifo_wr_overflow]
connect_bd_net -net axi_ad9652_dma_irq [get_bd_pins axi_ad9652_dma/irq] [get_bd_pins sys_concat_intc/In13]
connect_bd_net -net axi_ad9652_dma_irq [get_bd_pins axi_ad9652_dma/irq] [get_bd_ports fmcomms6_dma_irq]
# interconnect (cpu)

View File

@ -158,6 +158,7 @@ module system_top (
wire adc_valid_1;
wire adc_enable_1;
wire [15:0] adc_data_1;
wire [15:0] ps_intrs;
// pack-unpack place holder
@ -241,6 +242,21 @@ module system_top (
.hdmi_vsync (hdmi_vsync),
.iic_main_scl_io (iic_scl),
.iic_main_sda_io (iic_sda),
.ps_intr_0 (ps_intrs[0]),
.ps_intr_1 (ps_intrs[1]),
.ps_intr_10 (ps_intrs[10]),
.ps_intr_11 (ps_intrs[11]),
.ps_intr_12 (ps_intrs[12]),
.ps_intr_13 (ps_intrs[13]),
.ps_intr_2 (ps_intrs[2]),
.ps_intr_3 (ps_intrs[3]),
.ps_intr_4 (ps_intrs[4]),
.ps_intr_5 (ps_intrs[5]),
.ps_intr_6 (ps_intrs[6]),
.ps_intr_7 (ps_intrs[7]),
.ps_intr_8 (ps_intrs[8]),
.ps_intr_9 (ps_intrs[9]),
.fmcomms6_dma_irq (ps_intrs[13]),
.spdif (spdif),
.spi_clk_i (spi_clk),
.spi_clk_o (spi_clk),