From 63633a0fa52475622baf692de98d99cd2e899986 Mon Sep 17 00:00:00 2001 From: Rejeesh Kutty Date: Thu, 8 Jan 2015 10:25:45 -0500 Subject: [PATCH] ad9739a: constraints --- library/axi_ad9739a/axi_ad9739a_constr.xdc | 6 ++++++ 1 file changed, 6 insertions(+) create mode 100755 library/axi_ad9739a/axi_ad9739a_constr.xdc diff --git a/library/axi_ad9739a/axi_ad9739a_constr.xdc b/library/axi_ad9739a/axi_ad9739a_constr.xdc new file mode 100755 index 000000000..e65318386 --- /dev/null +++ b/library/axi_ad9739a/axi_ad9739a_constr.xdc @@ -0,0 +1,6 @@ + +set_false_path -from [get_cells *d_xfer_* -hierarchical -filter {PRIMITIVE_SUBGROUP == flop}] \ + -to [get_cells *up_* -hierarchical -filter {PRIMITIVE_SUBGROUP == flop}] +set_false_path -from [get_cells *up_xfer_* -hierarchical -filter {PRIMITIVE_SUBGROUP == flop}] \ + -to [get_cells *d_xfer_* -hierarchical -filter {PRIMITIVE_SUBGROUP == flop}] +