diff --git a/library/axi_ad9739a/axi_ad9739a_constr.xdc b/library/axi_ad9739a/axi_ad9739a_constr.xdc new file mode 100755 index 000000000..e65318386 --- /dev/null +++ b/library/axi_ad9739a/axi_ad9739a_constr.xdc @@ -0,0 +1,6 @@ + +set_false_path -from [get_cells *d_xfer_* -hierarchical -filter {PRIMITIVE_SUBGROUP == flop}] \ + -to [get_cells *up_* -hierarchical -filter {PRIMITIVE_SUBGROUP == flop}] +set_false_path -from [get_cells *up_xfer_* -hierarchical -filter {PRIMITIVE_SUBGROUP == flop}] \ + -to [get_cells *d_xfer_* -hierarchical -filter {PRIMITIVE_SUBGROUP == flop}] +