diff --git a/library/axi_ad9361/axi_ad9361_rx.v b/library/axi_ad9361/axi_ad9361_rx.v index eef678174..d4d34e86a 100644 --- a/library/axi_ad9361/axi_ad9361_rx.v +++ b/library/axi_ad9361/axi_ad9361_rx.v @@ -360,10 +360,13 @@ module axi_ad9361_rx #( .adc_start_code (), .adc_sref_sync (), .adc_sync (), + .adc_num_lanes (), + .adc_sdr_ddr_n (), .up_adc_ce (), .up_pps_rcounter (up_pps_rcounter), .up_pps_status (up_pps_status), .up_pps_irq_mask (up_pps_irq_mask), + .up_adc_r1_mode (), .up_status_pn_err (up_status_pn_err), .up_status_pn_oos (up_status_pn_oos), .up_status_or (up_status_or), diff --git a/library/axi_ad9361/axi_ad9361_rx_pnmon.v b/library/axi_ad9361/axi_ad9361_rx_pnmon.v index dbf8a7097..20779e9a7 100644 --- a/library/axi_ad9361/axi_ad9361_rx_pnmon.v +++ b/library/axi_ad9361/axi_ad9361_rx_pnmon.v @@ -292,6 +292,7 @@ module axi_ad9361_rx_pnmon #( .adc_valid_in (adc_pn_valid_in), .adc_data_in (adc_pn_data_in), .adc_data_pn (adc_pn_data_pn), + .adc_pattern_has_zero (1'b0), .adc_pn_oos (adc_pn_oos), .adc_pn_err (adc_pn_err)); diff --git a/library/axi_ad9361/axi_ad9361_tx.v b/library/axi_ad9361/axi_ad9361_tx.v index 8f21fddb2..6105f9001 100644 --- a/library/axi_ad9361/axi_ad9361_tx.v +++ b/library/axi_ad9361/axi_ad9361_tx.v @@ -373,6 +373,8 @@ module axi_ad9361_tx #( .mmcm_rst (), .dac_clk (dac_clk), .dac_rst (dac_rst), + .dac_num_lanes (), + .dac_sdr_ddr_n (), .dac_sync (dac_sync), .dac_frame (), .dac_clksel (dac_clksel), @@ -382,6 +384,7 @@ module axi_ad9361_tx #( .dac_datafmt (dac_dds_format_s), .dac_datarate (dac_datarate_s), .dac_status (1'b1), + .dac_sync_in_status (1'b1), .dac_status_unf (dac_dunf), .dac_clk_ratio (32'd1), .up_dac_ce (),