From 5cc97c78d3528ff9319996603f739027b54d2746 Mon Sep 17 00:00:00 2001 From: Adrian Costina Date: Tue, 10 Nov 2015 09:32:50 +0200 Subject: [PATCH] Makefiles: Update makefiles to include the nerw axi_gpreg / util_mfifo libraries --- library/Makefile | 4 +++ library/axi_gpreg/Makefile | 48 +++++++++++++++++++++++++++++++++ library/util_mfifo/Makefile | 43 +++++++++++++++++++++++++++++ projects/daq2/a10gx/Makefile | 4 +++ projects/fmcadc4/zc706/Makefile | 3 +++ projects/fmcadc5/vc707/Makefile | 4 +++ projects/fmcjesdadc1/Makefile | 3 --- projects/pzsdr/ccbrk/Makefile | 3 +++ projects/pzsdr/ccfmc/Makefile | 9 +++++++ 9 files changed, 118 insertions(+), 3 deletions(-) create mode 100644 library/axi_gpreg/Makefile create mode 100644 library/util_mfifo/Makefile diff --git a/library/Makefile b/library/Makefile index fdd46d564..e6aeb1dbd 100644 --- a/library/Makefile +++ b/library/Makefile @@ -30,6 +30,7 @@ clean: make -C axi_clkgen clean make -C axi_dmac clean make -C axi_generic_adc clean + make -C axi_gpreg clean make -C axi_hdmi_rx clean make -C axi_hdmi_tx clean make -C axi_i2s_adi clean @@ -61,6 +62,7 @@ clean: make -C util_gtlb clean make -C util_i2c_mixer clean make -C util_jesd_gt clean + make -C util_mfifo clean make -C util_pmod_adc clean make -C util_pmod_fmeter clean make -C util_rfifo clean @@ -93,6 +95,7 @@ lib: -make -C axi_clkgen -make -C axi_dmac -make -C axi_generic_adc + -make -C axi_gpreg -make -C axi_hdmi_rx -make -C axi_hdmi_tx -make -C axi_i2s_adi @@ -124,6 +127,7 @@ lib: -make -C util_gtlb -make -C util_i2c_mixer -make -C util_jesd_gt + -make -C util_mfifo -make -C util_pmod_adc -make -C util_pmod_fmeter -make -C util_rfifo diff --git a/library/axi_gpreg/Makefile b/library/axi_gpreg/Makefile new file mode 100644 index 000000000..f8a659395 --- /dev/null +++ b/library/axi_gpreg/Makefile @@ -0,0 +1,48 @@ +#################################################################################### +#################################################################################### +## Copyright 2011(c) Analog Devices, Inc. +## Auto-generated, do not modify! +#################################################################################### +#################################################################################### + +M_DEPS := axi_gpreg_ip.tcl +M_DEPS += ../scripts/adi_env.tcl +M_DEPS += ../scripts/adi_ip.tcl +M_DEPS += ../common/ad_rst.v +M_DEPS += ../common/up_clock_mon.v +M_DEPS += ../common/up_axi.v +M_DEPS += axi_gpreg_constr.xdc +M_DEPS += axi_gpreg_io.v +M_DEPS += axi_gpreg_clock_mon.v +M_DEPS += axi_gpreg.v + +M_VIVADO := vivado -mode batch -source + +M_FLIST := *.cache +M_FLIST += *.data +M_FLIST += *.xpr +M_FLIST += *.log +M_FLIST += component.xml +M_FLIST += *.jou +M_FLIST += xgui +M_FLIST += .Xil + + + +.PHONY: all clean clean-all +all: axi_gpreg.xpr + + +clean:clean-all + + +clean-all: + rm -rf $(M_FLIST) + + +axi_gpreg.xpr: $(M_DEPS) + rm -rf $(M_FLIST) + $(M_VIVADO) axi_gpreg_ip.tcl >> axi_gpreg_ip.log 2>&1 + +#################################################################################### +#################################################################################### diff --git a/library/util_mfifo/Makefile b/library/util_mfifo/Makefile new file mode 100644 index 000000000..0f3e4869c --- /dev/null +++ b/library/util_mfifo/Makefile @@ -0,0 +1,43 @@ +#################################################################################### +#################################################################################### +## Copyright 2011(c) Analog Devices, Inc. +## Auto-generated, do not modify! +#################################################################################### +#################################################################################### + +M_DEPS := util_mfifo_ip.tcl +M_DEPS += ../scripts/adi_env.tcl +M_DEPS += ../scripts/adi_ip.tcl +M_DEPS += ../common/ad_mem.v +M_DEPS += util_mfifo.v + +M_VIVADO := vivado -mode batch -source + +M_FLIST := *.cache +M_FLIST += *.data +M_FLIST += *.xpr +M_FLIST += *.log +M_FLIST += component.xml +M_FLIST += *.jou +M_FLIST += xgui +M_FLIST += .Xil + + + +.PHONY: all clean clean-all +all: util_mfifo.xpr + + +clean:clean-all + + +clean-all: + rm -rf $(M_FLIST) + + +util_mfifo.xpr: $(M_DEPS) + rm -rf $(M_FLIST) + $(M_VIVADO) util_mfifo_ip.tcl >> util_mfifo_ip.log 2>&1 + +#################################################################################### +#################################################################################### diff --git a/projects/daq2/a10gx/Makefile b/projects/daq2/a10gx/Makefile index be5ffa997..9b6c2c8a5 100644 --- a/projects/daq2/a10gx/Makefile +++ b/projects/daq2/a10gx/Makefile @@ -67,6 +67,10 @@ M_DEPS += ../../../library/common/up_delay_cntrl.v M_DEPS += ../../../library/common/up_xcvr.v M_DEPS += ../../../library/common/up_xfer_cntrl.v M_DEPS += ../../../library/common/up_xfer_status.v +M_DEPS += ../../../library/util_adcfifo/../common/ad_axis_inf_rx.v +M_DEPS += ../../../library/util_adcfifo/../common/ad_mem_asym.v +M_DEPS += ../../../library/util_adcfifo/util_adcfifo.v +M_DEPS += ../../../library/util_adcfifo/util_adcfifo_hw.tcl M_DEPS += ../../../library/util_axis_fifo/address_gray.v M_DEPS += ../../../library/util_axis_fifo/address_gray_pipelined.v M_DEPS += ../../../library/util_axis_fifo/address_sync.v diff --git a/projects/fmcadc4/zc706/Makefile b/projects/fmcadc4/zc706/Makefile index 72e51fb79..eddeba7f9 100644 --- a/projects/fmcadc4/zc706/Makefile +++ b/projects/fmcadc4/zc706/Makefile @@ -30,6 +30,7 @@ M_DEPS += ../../../library/axi_spdif_tx/axi_spdif_tx.xpr M_DEPS += ../../../library/util_bsplit/util_bsplit.xpr M_DEPS += ../../../library/util_cpack/util_cpack.xpr M_DEPS += ../../../library/util_jesd_gt/util_jesd_gt.xpr +M_DEPS += ../../../library/util_mfifo/util_mfifo.xpr M_VIVADO := vivado -mode batch -source @@ -65,6 +66,7 @@ clean-all:clean make -C ../../../library/util_bsplit clean make -C ../../../library/util_cpack clean make -C ../../../library/util_jesd_gt clean + make -C ../../../library/util_mfifo clean fmcadc4_zc706.sdk/system_top.hdf: $(M_DEPS) @@ -83,6 +85,7 @@ lib: make -C ../../../library/util_bsplit make -C ../../../library/util_cpack make -C ../../../library/util_jesd_gt + make -C ../../../library/util_mfifo #################################################################################### #################################################################################### diff --git a/projects/fmcadc5/vc707/Makefile b/projects/fmcadc5/vc707/Makefile index 32e49b899..0b5ddbfe3 100644 --- a/projects/fmcadc5/vc707/Makefile +++ b/projects/fmcadc5/vc707/Makefile @@ -19,6 +19,7 @@ M_DEPS += ../../common/xilinx/sys_dmafifo.tcl M_DEPS += ../../common/vc707/vc707_system_mig.prj M_DEPS += ../../common/vc707/vc707_system_constr.xdc M_DEPS += ../../common/vc707/vc707_system_bd.tcl +M_DEPS += ../../../library/common/ad_lvds_out.v M_DEPS += ../../../library/common/ad_iobuf.v M_DEPS += ../../../library/axi_ad9625/axi_ad9625.xpr M_DEPS += ../../../library/axi_dmac/axi_dmac.xpr @@ -27,6 +28,7 @@ M_DEPS += ../../../library/util_adcfifo/util_adcfifo.xpr M_DEPS += ../../../library/util_cpack/util_cpack.xpr M_DEPS += ../../../library/util_dacfifo/util_dacfifo.xpr M_DEPS += ../../../library/util_jesd_gt/util_jesd_gt.xpr +M_DEPS += ../../../library/util_mfifo/util_mfifo.xpr M_VIVADO := vivado -mode batch -source @@ -59,6 +61,7 @@ clean-all:clean make -C ../../../library/util_cpack clean make -C ../../../library/util_dacfifo clean make -C ../../../library/util_jesd_gt clean + make -C ../../../library/util_mfifo clean fmcadc5_vc707.sdk/system_top.hdf: $(M_DEPS) @@ -74,6 +77,7 @@ lib: make -C ../../../library/util_cpack make -C ../../../library/util_dacfifo make -C ../../../library/util_jesd_gt + make -C ../../../library/util_mfifo #################################################################################### #################################################################################### diff --git a/projects/fmcjesdadc1/Makefile b/projects/fmcjesdadc1/Makefile index ed9af23ef..1f822eee3 100644 --- a/projects/fmcjesdadc1/Makefile +++ b/projects/fmcjesdadc1/Makefile @@ -7,7 +7,6 @@ .PHONY: all clean clean-all all: - -make -C a5gt all -make -C a5gt all -make -C a5soc all -make -C kc705 all @@ -16,7 +15,6 @@ all: clean: - make -C a5gt clean make -C a5gt clean make -C a5soc clean make -C kc705 clean @@ -25,7 +23,6 @@ clean: clean-all: - make -C a5gt clean-all make -C a5gt clean-all make -C a5soc clean-all make -C kc705 clean-all diff --git a/projects/pzsdr/ccbrk/Makefile b/projects/pzsdr/ccbrk/Makefile index e7c199b0c..0c3231a4f 100644 --- a/projects/pzsdr/ccbrk/Makefile +++ b/projects/pzsdr/ccbrk/Makefile @@ -21,6 +21,7 @@ M_DEPS += ../../common/pzsdr/pzsdr_system_bd.tcl M_DEPS += ../../../library/common/ad_iobuf.v M_DEPS += ../../../library/axi_ad9361/axi_ad9361.xpr M_DEPS += ../../../library/axi_dmac/axi_dmac.xpr +M_DEPS += ../../../library/axi_gpreg/axi_gpreg.xpr M_DEPS += ../../../library/axi_jesd_gt/axi_jesd_gt.xpr M_DEPS += ../../../library/util_cpack/util_cpack.xpr M_DEPS += ../../../library/util_gtlb/util_gtlb.xpr @@ -53,6 +54,7 @@ clean: clean-all:clean make -C ../../../library/axi_ad9361 clean make -C ../../../library/axi_dmac clean + make -C ../../../library/axi_gpreg clean make -C ../../../library/axi_jesd_gt clean make -C ../../../library/util_cpack clean make -C ../../../library/util_gtlb clean @@ -68,6 +70,7 @@ ccbrk_pzsdr.sdk/system_top.hdf: $(M_DEPS) lib: make -C ../../../library/axi_ad9361 make -C ../../../library/axi_dmac + make -C ../../../library/axi_gpreg make -C ../../../library/axi_jesd_gt make -C ../../../library/util_cpack make -C ../../../library/util_gtlb diff --git a/projects/pzsdr/ccfmc/Makefile b/projects/pzsdr/ccfmc/Makefile index ec82bc578..b7dac49ea 100644 --- a/projects/pzsdr/ccfmc/Makefile +++ b/projects/pzsdr/ccfmc/Makefile @@ -22,10 +22,13 @@ M_DEPS += ../../../library/common/ad_iobuf.v M_DEPS += ../../../library/axi_ad9361/axi_ad9361.xpr M_DEPS += ../../../library/axi_clkgen/axi_clkgen.xpr M_DEPS += ../../../library/axi_dmac/axi_dmac.xpr +M_DEPS += ../../../library/axi_gpreg/axi_gpreg.xpr M_DEPS += ../../../library/axi_hdmi_tx/axi_hdmi_tx.xpr M_DEPS += ../../../library/axi_i2s_adi/axi_i2s_adi.xpr +M_DEPS += ../../../library/axi_jesd_gt/axi_jesd_gt.xpr M_DEPS += ../../../library/axi_spdif_tx/axi_spdif_tx.xpr M_DEPS += ../../../library/util_cpack/util_cpack.xpr +M_DEPS += ../../../library/util_gtlb/util_gtlb.xpr M_DEPS += ../../../library/util_upack/util_upack.xpr M_DEPS += ../../../library/util_wfifo/util_wfifo.xpr @@ -56,10 +59,13 @@ clean-all:clean make -C ../../../library/axi_ad9361 clean make -C ../../../library/axi_clkgen clean make -C ../../../library/axi_dmac clean + make -C ../../../library/axi_gpreg clean make -C ../../../library/axi_hdmi_tx clean make -C ../../../library/axi_i2s_adi clean + make -C ../../../library/axi_jesd_gt clean make -C ../../../library/axi_spdif_tx clean make -C ../../../library/util_cpack clean + make -C ../../../library/util_gtlb clean make -C ../../../library/util_upack clean make -C ../../../library/util_wfifo clean @@ -73,10 +79,13 @@ lib: make -C ../../../library/axi_ad9361 make -C ../../../library/axi_clkgen make -C ../../../library/axi_dmac + make -C ../../../library/axi_gpreg make -C ../../../library/axi_hdmi_tx make -C ../../../library/axi_i2s_adi + make -C ../../../library/axi_jesd_gt make -C ../../../library/axi_spdif_tx make -C ../../../library/util_cpack + make -C ../../../library/util_gtlb make -C ../../../library/util_upack make -C ../../../library/util_wfifo