Makefiles: Update makefiles to include the nerw axi_gpreg / util_mfifo libraries

main
Adrian Costina 2015-11-10 09:32:50 +02:00
parent ef9bdf6ec9
commit 5cc97c78d3
9 changed files with 118 additions and 3 deletions

View File

@ -30,6 +30,7 @@ clean:
make -C axi_clkgen clean
make -C axi_dmac clean
make -C axi_generic_adc clean
make -C axi_gpreg clean
make -C axi_hdmi_rx clean
make -C axi_hdmi_tx clean
make -C axi_i2s_adi clean
@ -61,6 +62,7 @@ clean:
make -C util_gtlb clean
make -C util_i2c_mixer clean
make -C util_jesd_gt clean
make -C util_mfifo clean
make -C util_pmod_adc clean
make -C util_pmod_fmeter clean
make -C util_rfifo clean
@ -93,6 +95,7 @@ lib:
-make -C axi_clkgen
-make -C axi_dmac
-make -C axi_generic_adc
-make -C axi_gpreg
-make -C axi_hdmi_rx
-make -C axi_hdmi_tx
-make -C axi_i2s_adi
@ -124,6 +127,7 @@ lib:
-make -C util_gtlb
-make -C util_i2c_mixer
-make -C util_jesd_gt
-make -C util_mfifo
-make -C util_pmod_adc
-make -C util_pmod_fmeter
-make -C util_rfifo

View File

@ -0,0 +1,48 @@
####################################################################################
####################################################################################
## Copyright 2011(c) Analog Devices, Inc.
## Auto-generated, do not modify!
####################################################################################
####################################################################################
M_DEPS := axi_gpreg_ip.tcl
M_DEPS += ../scripts/adi_env.tcl
M_DEPS += ../scripts/adi_ip.tcl
M_DEPS += ../common/ad_rst.v
M_DEPS += ../common/up_clock_mon.v
M_DEPS += ../common/up_axi.v
M_DEPS += axi_gpreg_constr.xdc
M_DEPS += axi_gpreg_io.v
M_DEPS += axi_gpreg_clock_mon.v
M_DEPS += axi_gpreg.v
M_VIVADO := vivado -mode batch -source
M_FLIST := *.cache
M_FLIST += *.data
M_FLIST += *.xpr
M_FLIST += *.log
M_FLIST += component.xml
M_FLIST += *.jou
M_FLIST += xgui
M_FLIST += .Xil
.PHONY: all clean clean-all
all: axi_gpreg.xpr
clean:clean-all
clean-all:
rm -rf $(M_FLIST)
axi_gpreg.xpr: $(M_DEPS)
rm -rf $(M_FLIST)
$(M_VIVADO) axi_gpreg_ip.tcl >> axi_gpreg_ip.log 2>&1
####################################################################################
####################################################################################

View File

@ -0,0 +1,43 @@
####################################################################################
####################################################################################
## Copyright 2011(c) Analog Devices, Inc.
## Auto-generated, do not modify!
####################################################################################
####################################################################################
M_DEPS := util_mfifo_ip.tcl
M_DEPS += ../scripts/adi_env.tcl
M_DEPS += ../scripts/adi_ip.tcl
M_DEPS += ../common/ad_mem.v
M_DEPS += util_mfifo.v
M_VIVADO := vivado -mode batch -source
M_FLIST := *.cache
M_FLIST += *.data
M_FLIST += *.xpr
M_FLIST += *.log
M_FLIST += component.xml
M_FLIST += *.jou
M_FLIST += xgui
M_FLIST += .Xil
.PHONY: all clean clean-all
all: util_mfifo.xpr
clean:clean-all
clean-all:
rm -rf $(M_FLIST)
util_mfifo.xpr: $(M_DEPS)
rm -rf $(M_FLIST)
$(M_VIVADO) util_mfifo_ip.tcl >> util_mfifo_ip.log 2>&1
####################################################################################
####################################################################################

View File

@ -67,6 +67,10 @@ M_DEPS += ../../../library/common/up_delay_cntrl.v
M_DEPS += ../../../library/common/up_xcvr.v
M_DEPS += ../../../library/common/up_xfer_cntrl.v
M_DEPS += ../../../library/common/up_xfer_status.v
M_DEPS += ../../../library/util_adcfifo/../common/ad_axis_inf_rx.v
M_DEPS += ../../../library/util_adcfifo/../common/ad_mem_asym.v
M_DEPS += ../../../library/util_adcfifo/util_adcfifo.v
M_DEPS += ../../../library/util_adcfifo/util_adcfifo_hw.tcl
M_DEPS += ../../../library/util_axis_fifo/address_gray.v
M_DEPS += ../../../library/util_axis_fifo/address_gray_pipelined.v
M_DEPS += ../../../library/util_axis_fifo/address_sync.v

View File

@ -30,6 +30,7 @@ M_DEPS += ../../../library/axi_spdif_tx/axi_spdif_tx.xpr
M_DEPS += ../../../library/util_bsplit/util_bsplit.xpr
M_DEPS += ../../../library/util_cpack/util_cpack.xpr
M_DEPS += ../../../library/util_jesd_gt/util_jesd_gt.xpr
M_DEPS += ../../../library/util_mfifo/util_mfifo.xpr
M_VIVADO := vivado -mode batch -source
@ -65,6 +66,7 @@ clean-all:clean
make -C ../../../library/util_bsplit clean
make -C ../../../library/util_cpack clean
make -C ../../../library/util_jesd_gt clean
make -C ../../../library/util_mfifo clean
fmcadc4_zc706.sdk/system_top.hdf: $(M_DEPS)
@ -83,6 +85,7 @@ lib:
make -C ../../../library/util_bsplit
make -C ../../../library/util_cpack
make -C ../../../library/util_jesd_gt
make -C ../../../library/util_mfifo
####################################################################################
####################################################################################

View File

@ -19,6 +19,7 @@ M_DEPS += ../../common/xilinx/sys_dmafifo.tcl
M_DEPS += ../../common/vc707/vc707_system_mig.prj
M_DEPS += ../../common/vc707/vc707_system_constr.xdc
M_DEPS += ../../common/vc707/vc707_system_bd.tcl
M_DEPS += ../../../library/common/ad_lvds_out.v
M_DEPS += ../../../library/common/ad_iobuf.v
M_DEPS += ../../../library/axi_ad9625/axi_ad9625.xpr
M_DEPS += ../../../library/axi_dmac/axi_dmac.xpr
@ -27,6 +28,7 @@ M_DEPS += ../../../library/util_adcfifo/util_adcfifo.xpr
M_DEPS += ../../../library/util_cpack/util_cpack.xpr
M_DEPS += ../../../library/util_dacfifo/util_dacfifo.xpr
M_DEPS += ../../../library/util_jesd_gt/util_jesd_gt.xpr
M_DEPS += ../../../library/util_mfifo/util_mfifo.xpr
M_VIVADO := vivado -mode batch -source
@ -59,6 +61,7 @@ clean-all:clean
make -C ../../../library/util_cpack clean
make -C ../../../library/util_dacfifo clean
make -C ../../../library/util_jesd_gt clean
make -C ../../../library/util_mfifo clean
fmcadc5_vc707.sdk/system_top.hdf: $(M_DEPS)
@ -74,6 +77,7 @@ lib:
make -C ../../../library/util_cpack
make -C ../../../library/util_dacfifo
make -C ../../../library/util_jesd_gt
make -C ../../../library/util_mfifo
####################################################################################
####################################################################################

View File

@ -7,7 +7,6 @@
.PHONY: all clean clean-all
all:
-make -C a5gt all
-make -C a5gt all
-make -C a5soc all
-make -C kc705 all
@ -16,7 +15,6 @@ all:
clean:
make -C a5gt clean
make -C a5gt clean
make -C a5soc clean
make -C kc705 clean
@ -25,7 +23,6 @@ clean:
clean-all:
make -C a5gt clean-all
make -C a5gt clean-all
make -C a5soc clean-all
make -C kc705 clean-all

View File

@ -21,6 +21,7 @@ M_DEPS += ../../common/pzsdr/pzsdr_system_bd.tcl
M_DEPS += ../../../library/common/ad_iobuf.v
M_DEPS += ../../../library/axi_ad9361/axi_ad9361.xpr
M_DEPS += ../../../library/axi_dmac/axi_dmac.xpr
M_DEPS += ../../../library/axi_gpreg/axi_gpreg.xpr
M_DEPS += ../../../library/axi_jesd_gt/axi_jesd_gt.xpr
M_DEPS += ../../../library/util_cpack/util_cpack.xpr
M_DEPS += ../../../library/util_gtlb/util_gtlb.xpr
@ -53,6 +54,7 @@ clean:
clean-all:clean
make -C ../../../library/axi_ad9361 clean
make -C ../../../library/axi_dmac clean
make -C ../../../library/axi_gpreg clean
make -C ../../../library/axi_jesd_gt clean
make -C ../../../library/util_cpack clean
make -C ../../../library/util_gtlb clean
@ -68,6 +70,7 @@ ccbrk_pzsdr.sdk/system_top.hdf: $(M_DEPS)
lib:
make -C ../../../library/axi_ad9361
make -C ../../../library/axi_dmac
make -C ../../../library/axi_gpreg
make -C ../../../library/axi_jesd_gt
make -C ../../../library/util_cpack
make -C ../../../library/util_gtlb

View File

@ -22,10 +22,13 @@ M_DEPS += ../../../library/common/ad_iobuf.v
M_DEPS += ../../../library/axi_ad9361/axi_ad9361.xpr
M_DEPS += ../../../library/axi_clkgen/axi_clkgen.xpr
M_DEPS += ../../../library/axi_dmac/axi_dmac.xpr
M_DEPS += ../../../library/axi_gpreg/axi_gpreg.xpr
M_DEPS += ../../../library/axi_hdmi_tx/axi_hdmi_tx.xpr
M_DEPS += ../../../library/axi_i2s_adi/axi_i2s_adi.xpr
M_DEPS += ../../../library/axi_jesd_gt/axi_jesd_gt.xpr
M_DEPS += ../../../library/axi_spdif_tx/axi_spdif_tx.xpr
M_DEPS += ../../../library/util_cpack/util_cpack.xpr
M_DEPS += ../../../library/util_gtlb/util_gtlb.xpr
M_DEPS += ../../../library/util_upack/util_upack.xpr
M_DEPS += ../../../library/util_wfifo/util_wfifo.xpr
@ -56,10 +59,13 @@ clean-all:clean
make -C ../../../library/axi_ad9361 clean
make -C ../../../library/axi_clkgen clean
make -C ../../../library/axi_dmac clean
make -C ../../../library/axi_gpreg clean
make -C ../../../library/axi_hdmi_tx clean
make -C ../../../library/axi_i2s_adi clean
make -C ../../../library/axi_jesd_gt clean
make -C ../../../library/axi_spdif_tx clean
make -C ../../../library/util_cpack clean
make -C ../../../library/util_gtlb clean
make -C ../../../library/util_upack clean
make -C ../../../library/util_wfifo clean
@ -73,10 +79,13 @@ lib:
make -C ../../../library/axi_ad9361
make -C ../../../library/axi_clkgen
make -C ../../../library/axi_dmac
make -C ../../../library/axi_gpreg
make -C ../../../library/axi_hdmi_tx
make -C ../../../library/axi_i2s_adi
make -C ../../../library/axi_jesd_gt
make -C ../../../library/axi_spdif_tx
make -C ../../../library/util_cpack
make -C ../../../library/util_gtlb
make -C ../../../library/util_upack
make -C ../../../library/util_wfifo