scripts: sdk export before timing check

main
Rejeesh Kutty 2014-10-27 09:57:20 -04:00
parent 4494998940
commit 54f341fad8
1 changed files with 2 additions and 2 deletions

View File

@ -179,11 +179,11 @@ proc adi_project_run {project_name} {
#get_property STATS.TNS [get_runs impl_1]
#get_property STATS.TPWS [get_runs impl_1]
export_hardware [get_files $project_system_dir/system.bd] [get_runs impl_1] -bitstream
if [expr [get_property SLACK [get_timing_paths]] < 0] {
puts "ERROR: Timing Constraints NOT met."
use_this_invalid_command_to_crash
}
export_hardware [get_files $project_system_dir/system.bd] [get_runs impl_1] -bitstream
}