From 53c2f0642b22faa9640b0a2bb5f8065971943c1e Mon Sep 17 00:00:00 2001 From: Rejeesh Kutty Date: Tue, 8 Nov 2016 15:20:33 -0500 Subject: [PATCH] fmcjesdadc1/a5soc -- xcvr frame work updates --- projects/fmcjesdadc1/a5soc/system_constr.sdc | 16 +- projects/fmcjesdadc1/a5soc/system_project.tcl | 1 - projects/fmcjesdadc1/a5soc/system_top.v | 430 ++++++++---------- 3 files changed, 213 insertions(+), 234 deletions(-) diff --git a/projects/fmcjesdadc1/a5soc/system_constr.sdc b/projects/fmcjesdadc1/a5soc/system_constr.sdc index e01240f42..cc0a871d7 100644 --- a/projects/fmcjesdadc1/a5soc/system_constr.sdc +++ b/projects/fmcjesdadc1/a5soc/system_constr.sdc @@ -1,8 +1,22 @@ -create_clock -period "4.000 ns" -name clk_250m [get_ports {ref_clk}] +create_clock -period "4.000 ns" -name ref_clk [get_ports {ref_clk}] +create_clock -period "20.000 ns" -name sys_cpu_clk [get_pins {i_system_bd|sys_hps|fpga_interfaces|clocks_resets|h2f_user0_clk}] +create_clock -period "10.000 ns" -name sys_dma_clk [get_pins {i_system_bd|sys_hps|fpga_interfaces|clocks_resets|h2f_user1_clk}] derive_pll_clocks derive_clock_uncertainty +set_false_path -to [get_registers {rx_sysref_m1}] +set_false_path -from [get_clocks {sys_cpu_clk}] -through [get_nets *altera_jesd204_rx_ctl_inst*]\ + -to [get_clocks {i_system_bd|avl_ad9250_xcvr|alt_core_pll|altera_pll_i|arriav_pll|counter[0].output_counter|divclk}] + +set_false_path -from [get_clocks {i_system_bd|avl_ad9250_xcvr|alt_core_pll|altera_pll_i|arriav_pll|counter[0].output_counter|divclk}]\ + -through [get_nets *altera_jesd204_rx_ctl_inst*] -to [get_clocks {sys_cpu_clk}] + +set_false_path -from [get_clocks {sys_cpu_clk}] -through [get_nets *altera_jesd204_rx_csr_inst*]\ + -to [get_clocks {i_system_bd|avl_ad9250_xcvr|alt_core_pll|altera_pll_i|arriav_pll|counter[0].output_counter|divclk}] + +set_false_path -from [get_clocks {i_system_bd|avl_ad9250_xcvr|alt_core_pll|altera_pll_i|arriav_pll|counter[0].output_counter|divclk}]\ + -through [get_nets *altera_jesd204_rx_csr_inst*] -to [get_clocks {sys_cpu_clk}] diff --git a/projects/fmcjesdadc1/a5soc/system_project.tcl b/projects/fmcjesdadc1/a5soc/system_project.tcl index 5fe987c65..e0e780765 100755 --- a/projects/fmcjesdadc1/a5soc/system_project.tcl +++ b/projects/fmcjesdadc1/a5soc/system_project.tcl @@ -62,7 +62,6 @@ set_instance_assignment -name IO_STANDARD "2.5 V" -to spi_sdio set_instance_assignment -name QII_AUTO_PACKED_REGISTERS OFF -to * -entity up_xfer_cntrl set_instance_assignment -name QII_AUTO_PACKED_REGISTERS OFF -to * -entity up_xfer_status -set_instance_assignment -name QII_AUTO_PACKED_REGISTERS OFF -to * -entity up_xcvr execute_flow -compile diff --git a/projects/fmcjesdadc1/a5soc/system_top.v b/projects/fmcjesdadc1/a5soc/system_top.v index 161b9c694..b409dc062 100644 --- a/projects/fmcjesdadc1/a5soc/system_top.v +++ b/projects/fmcjesdadc1/a5soc/system_top.v @@ -41,179 +41,128 @@ module system_top ( // hps - ddr3_a, - ddr3_ba, - ddr3_ck_p, - ddr3_ck_n, - ddr3_cke, - ddr3_cs_n, - ddr3_ras_n, - ddr3_cas_n, - ddr3_we_n, - ddr3_reset_n, - ddr3_dq, - ddr3_dqs_p, - ddr3_dqs_n, - ddr3_odt, - ddr3_dm, - ddr3_oct_rzqin, - eth1_tx_clk, - eth1_tx_ctl, - eth1_txd0, - eth1_txd1, - eth1_txd2, - eth1_txd3, - eth1_rx_clk, - eth1_rx_ctl, - eth1_rxd0, - eth1_rxd1, - eth1_rxd2, - eth1_rxd3, - eth1_mdc, - eth1_mdio, - qspi_ss0, - qspi_clk, - qspi_io0, - qspi_io1, - qspi_io2, - qspi_io3, - sdio_clk, - sdio_cmd, - sdio_d0, - sdio_d1, - sdio_d2, - sdio_d3, - usb1_clk, - usb1_stp, - usb1_dir, - usb1_nxt, - usb1_d0, - usb1_d1, - usb1_d2, - usb1_d3, - usb1_d4, - usb1_d5, - usb1_d6, - usb1_d7, - uart0_rx, - uart0_tx, + output [ 14:0] ddr3_a, + output [ 2:0] ddr3_ba, + output ddr3_ck_p, + output ddr3_ck_n, + output ddr3_cke, + output ddr3_cs_n, + output ddr3_ras_n, + output ddr3_cas_n, + output ddr3_we_n, + output ddr3_reset_n, + inout [ 39:0] ddr3_dq, + inout [ 4:0] ddr3_dqs_p, + inout [ 4:0] ddr3_dqs_n, + output ddr3_odt, + output [ 4:0] ddr3_dm, + input ddr3_oct_rzqin, + output eth1_tx_clk, + output eth1_tx_ctl, + output eth1_txd0, + output eth1_txd1, + output eth1_txd2, + output eth1_txd3, + input eth1_rx_clk, + input eth1_rx_ctl, + input eth1_rxd0, + input eth1_rxd1, + input eth1_rxd2, + input eth1_rxd3, + output eth1_mdc, + inout eth1_mdio, + output qspi_ss0, + output qspi_clk, + inout qspi_io0, + inout qspi_io1, + inout qspi_io2, + inout qspi_io3, + output sdio_clk, + inout sdio_cmd, + inout sdio_d0, + inout sdio_d1, + inout sdio_d2, + inout sdio_d3, + input usb1_clk, + output usb1_stp, + input usb1_dir, + input usb1_nxt, + inout usb1_d0, + inout usb1_d1, + inout usb1_d2, + inout usb1_d3, + inout usb1_d4, + inout usb1_d5, + inout usb1_d6, + inout usb1_d7, + input uart0_rx, + output uart0_tx, // board gpio - gpio_bd, + output [ 3:0] gpio_bd_o, + input [ 7:0] gpio_bd_i, // i2c - fmc_a_scl, - fmc_a_sda, + inout fmca_scl, + inout fmca_sda, // lane interface - ref_clk, - rx_data, - rx_sync, - rx_sysref, + input ref_clk, + input [ 3:0] rx_data, + output rx_sync, + output rx_sysref, // spi - spi_csn, - spi_clk, - spi_sdio); + output spi_csn, + output spi_clk, + inout spi_sdio); - // hps + // internal registers - output [ 14:0] ddr3_a; - output [ 2:0] ddr3_ba; - output ddr3_ck_p; - output ddr3_ck_n; - output ddr3_cke; - output ddr3_cs_n; - output ddr3_ras_n; - output ddr3_cas_n; - output ddr3_we_n; - output ddr3_reset_n; - inout [ 39:0] ddr3_dq; - inout [ 4:0] ddr3_dqs_p; - inout [ 4:0] ddr3_dqs_n; - output ddr3_odt; - output [ 4:0] ddr3_dm; - input ddr3_oct_rzqin; - output eth1_tx_clk; - output eth1_tx_ctl; - output eth1_txd0; - output eth1_txd1; - output eth1_txd2; - output eth1_txd3; - input eth1_rx_clk; - input eth1_rx_ctl; - input eth1_rxd0; - input eth1_rxd1; - input eth1_rxd2; - input eth1_rxd3; - output eth1_mdc; - inout eth1_mdio; - output qspi_ss0; - output qspi_clk; - inout qspi_io0; - inout qspi_io1; - inout qspi_io2; - inout qspi_io3; - output sdio_clk; - inout sdio_cmd; - inout sdio_d0; - inout sdio_d1; - inout sdio_d2; - inout sdio_d3; - input usb1_clk; - output usb1_stp; - input usb1_dir; - input usb1_nxt; - inout usb1_d0; - inout usb1_d1; - inout usb1_d2; - inout usb1_d3; - inout usb1_d4; - inout usb1_d5; - inout usb1_d6; - inout usb1_d7; - input uart0_rx; - output uart0_tx; - - // board gpio - - inout [ 11:0] gpio_bd; - - // i2c - - inout fmc_a_scl; - inout fmc_a_sda; - - // lane interface - - input ref_clk; - input [ 3:0] rx_data; - output rx_sync; - output rx_sysref; - - // spi - - output spi_csn; - output spi_clk; - inout spi_sdio; + reg rx_sysref_m1 = 'd0; + reg rx_sysref_m2 = 'd0; + reg rx_sysref_int = 'd0; // internal signals + wire sys_cpu_clk; + wire sys_dma_clk; + wire sys_rstn; + wire rx_clk; + wire [ 3:0] rx_ip_sof; + wire [127:0] rx_ip_data; wire [ 63:0] gpio_i; wire [ 63:0] gpio_o; wire spi_mosi; wire spi_miso; - wire fmc_a_scl_oe; - wire fmc_a_sda_oe; + wire fmca_scl_oe; + wire fmca_sda_oe; // i2c - assign fmc_a_scl = (fmc_a_scl_oe == 1'b1) ? 1'b0 : 1'bz; - assign fmc_a_sda = (fmc_a_sda_oe == 1'b1) ? 1'b0 : 1'bz; + assign fmca_scl = (fmca_scl_oe == 1'b1) ? 1'b0 : 1'bz; + assign fmca_sda = (fmca_sda_oe == 1'b1) ? 1'b0 : 1'bz; + + // gpio + + assign gpio_i[63: 8] = gpio_o[63:8]; + assign gpio_i[ 7: 0] = gpio_bd_i; + + assign gpio_bd_o = gpio_o[11:8]; + + // sysref + + assign rx_sysref = rx_sysref_int; + + always @(posedge rx_clk) begin + rx_sysref_m1 <= gpio_o[12]; + rx_sysref_m2 <= rx_sysref_m1; + rx_sysref_int <= rx_sysref_m1 & ~rx_sysref_m2; + end // instantiations @@ -224,90 +173,107 @@ module system_top ( .spi_miso (spi_miso), .spi_sdio (spi_sdio)); - ad_iobuf #(.DATA_WIDTH(12)) i_iobuf_bd ( - .dio_t ({8'hff, 4'h0}), - .dio_i (gpio_o[11:0]), - .dio_o (gpio_i[11:0]), - .dio_p (gpio_bd)); - system_bd i_system_bd ( - .a5soc_base_sys_gpio_bd_external_connection_in_port (gpio_i[63:32]), - .a5soc_base_sys_gpio_bd_external_connection_out_port (gpio_o[63:32]), - .a5soc_base_sys_gpio_external_connection_in_port (gpio_i[31:0]), - .a5soc_base_sys_gpio_external_connection_out_port (gpio_o[31:0]), - .a5soc_base_sys_hps_i2c0_out_data (fmc_a_sda_oe), - .a5soc_base_sys_hps_i2c0_sda (fmc_a_sda), - .a5soc_base_sys_hps_i2c0_clk_clk (fmc_a_scl_oe), - .a5soc_base_sys_hps_i2c0_scl_in_clk (fmc_a_scl), - .a5soc_base_sys_hps_io_hps_io_emac1_inst_TX_CLK (eth1_tx_clk), - .a5soc_base_sys_hps_io_hps_io_emac1_inst_TXD0 (eth1_txd0), - .a5soc_base_sys_hps_io_hps_io_emac1_inst_TXD1 (eth1_txd1), - .a5soc_base_sys_hps_io_hps_io_emac1_inst_TX_CTL (eth1_tx_ctl), - .a5soc_base_sys_hps_io_hps_io_emac1_inst_RXD0 (eth1_rxd0), - .a5soc_base_sys_hps_io_hps_io_emac1_inst_RXD1 (eth1_rxd1), - .a5soc_base_sys_hps_io_hps_io_emac1_inst_TXD2 (eth1_txd2), - .a5soc_base_sys_hps_io_hps_io_emac1_inst_TXD3 (eth1_txd3), - .a5soc_base_sys_hps_io_hps_io_emac1_inst_MDIO (eth1_mdio), - .a5soc_base_sys_hps_io_hps_io_emac1_inst_MDC (eth1_mdc), - .a5soc_base_sys_hps_io_hps_io_emac1_inst_RX_CTL (eth1_rx_ctl), - .a5soc_base_sys_hps_io_hps_io_emac1_inst_RX_CLK (eth1_rx_clk), - .a5soc_base_sys_hps_io_hps_io_emac1_inst_RXD2 (eth1_rxd2), - .a5soc_base_sys_hps_io_hps_io_emac1_inst_RXD3 (eth1_rxd3), - .a5soc_base_sys_hps_io_hps_io_qspi_inst_IO0 (qspi_io0), - .a5soc_base_sys_hps_io_hps_io_qspi_inst_IO1 (qspi_io1), - .a5soc_base_sys_hps_io_hps_io_qspi_inst_IO2 (qspi_io2), - .a5soc_base_sys_hps_io_hps_io_qspi_inst_IO3 (qspi_io3), - .a5soc_base_sys_hps_io_hps_io_qspi_inst_SS0 (qspi_ss0), - .a5soc_base_sys_hps_io_hps_io_qspi_inst_CLK (qspi_clk), - .a5soc_base_sys_hps_io_hps_io_sdio_inst_CMD (sdio_cmd), - .a5soc_base_sys_hps_io_hps_io_sdio_inst_D0 (sdio_d0), - .a5soc_base_sys_hps_io_hps_io_sdio_inst_D1 (sdio_d1), - .a5soc_base_sys_hps_io_hps_io_sdio_inst_CLK (sdio_clk), - .a5soc_base_sys_hps_io_hps_io_sdio_inst_D2 (sdio_d2), - .a5soc_base_sys_hps_io_hps_io_sdio_inst_D3 (sdio_d3), - .a5soc_base_sys_hps_io_hps_io_usb1_inst_D0 (usb1_d0), - .a5soc_base_sys_hps_io_hps_io_usb1_inst_D1 (usb1_d1), - .a5soc_base_sys_hps_io_hps_io_usb1_inst_D2 (usb1_d2), - .a5soc_base_sys_hps_io_hps_io_usb1_inst_D3 (usb1_d3), - .a5soc_base_sys_hps_io_hps_io_usb1_inst_D4 (usb1_d4), - .a5soc_base_sys_hps_io_hps_io_usb1_inst_D5 (usb1_d5), - .a5soc_base_sys_hps_io_hps_io_usb1_inst_D6 (usb1_d6), - .a5soc_base_sys_hps_io_hps_io_usb1_inst_D7 (usb1_d7), - .a5soc_base_sys_hps_io_hps_io_usb1_inst_CLK (usb1_clk), - .a5soc_base_sys_hps_io_hps_io_usb1_inst_STP (usb1_stp), - .a5soc_base_sys_hps_io_hps_io_usb1_inst_DIR (usb1_dir), - .a5soc_base_sys_hps_io_hps_io_usb1_inst_NXT (usb1_nxt), - .a5soc_base_sys_hps_io_hps_io_uart0_inst_RX (uart0_rx), - .a5soc_base_sys_hps_io_hps_io_uart0_inst_TX (uart0_tx), - .a5soc_base_sys_hps_memory_mem_a (ddr3_a), - .a5soc_base_sys_hps_memory_mem_ba (ddr3_ba), - .a5soc_base_sys_hps_memory_mem_ck (ddr3_ck_p), - .a5soc_base_sys_hps_memory_mem_ck_n (ddr3_ck_n), - .a5soc_base_sys_hps_memory_mem_cke (ddr3_cke), - .a5soc_base_sys_hps_memory_mem_cs_n (ddr3_cs_n), - .a5soc_base_sys_hps_memory_mem_ras_n (ddr3_ras_n), - .a5soc_base_sys_hps_memory_mem_cas_n (ddr3_cas_n), - .a5soc_base_sys_hps_memory_mem_we_n (ddr3_we_n), - .a5soc_base_sys_hps_memory_mem_reset_n (ddr3_reset_n), - .a5soc_base_sys_hps_memory_mem_dq (ddr3_dq), - .a5soc_base_sys_hps_memory_mem_dqs (ddr3_dqs_p), - .a5soc_base_sys_hps_memory_mem_dqs_n (ddr3_dqs_n), - .a5soc_base_sys_hps_memory_mem_odt (ddr3_odt), - .a5soc_base_sys_hps_memory_mem_dm (ddr3_dm), - .a5soc_base_sys_hps_memory_oct_rzqin (ddr3_oct_rzqin), - .a5soc_base_sys_hps_spim0_txd (spi_mosi), - .a5soc_base_sys_hps_spim0_rxd (spi_miso), - .a5soc_base_sys_hps_spim0_ss_in_n (1'b1), - .a5soc_base_sys_hps_spim0_ssi_oe_n (spi_csn), - .a5soc_base_sys_hps_spim0_ss_0_n (), - .a5soc_base_sys_hps_spim0_ss_1_n (), - .a5soc_base_sys_hps_spim0_ss_2_n (), - .a5soc_base_sys_hps_spim0_ss_3_n (), - .a5soc_base_sys_hps_spim0_sclk_out_clk (spi_clk), - .fmcjesdadc1_rx_data_rx_serial_data (rx_data), - .fmcjesdadc1_rx_ref_clk_clk (ref_clk), - .fmcjesdadc1_rx_sync_rx_sync (rx_sync), - .fmcjesdadc1_rx_sysref_rx_ext_sysref_out (rx_sysref)); + .rx_core_clk_clk (rx_clk), + .rx_data_0_rx_serial_data (rx_data[0]), + .rx_data_1_rx_serial_data (rx_data[1]), + .rx_data_2_rx_serial_data (rx_data[2]), + .rx_data_3_rx_serial_data (rx_data[3]), + .rx_ip_data_data (rx_ip_data), + .rx_ip_data_valid (), + .rx_ip_data_ready (1'b1), + .rx_ip_data_0_data (rx_ip_data[63:0]), + .rx_ip_data_0_valid (1'b1), + .rx_ip_data_0_ready (), + .rx_ip_data_1_data (rx_ip_data[127:64]), + .rx_ip_data_1_valid (1'b1), + .rx_ip_data_1_ready (), + .rx_ip_sof_export (rx_ip_sof), + .rx_ip_sof_0_export (rx_ip_sof), + .rx_ip_sof_1_export (rx_ip_sof), + .rx_ref_clk_clk (ref_clk), + .rx_sync_export (rx_sync), + .rx_sysref_export (rx_sysref_int), + .sys_clk_clk (sys_cpu_clk), + .sys_dma_clk_clk (sys_dma_clk), + .sys_dma_rst_reset_n (sys_rstn), + .sys_gpio_bd_in_port (gpio_i[31:0]), + .sys_gpio_bd_out_port (gpio_o[31:0]), + .sys_gpio_in_export (gpio_i[63:32]), + .sys_gpio_out_export (gpio_o[63:32]), + .sys_hps_cpu_clk_clk (sys_cpu_clk), + .sys_hps_ddr3_mem_a (ddr3_a), + .sys_hps_ddr3_mem_ba (ddr3_ba), + .sys_hps_ddr3_mem_ck (ddr3_ck_p), + .sys_hps_ddr3_mem_ck_n (ddr3_ck_n), + .sys_hps_ddr3_mem_cke (ddr3_cke), + .sys_hps_ddr3_mem_cs_n (ddr3_cs_n), + .sys_hps_ddr3_mem_ras_n (ddr3_ras_n), + .sys_hps_ddr3_mem_cas_n (ddr3_cas_n), + .sys_hps_ddr3_mem_we_n (ddr3_we_n), + .sys_hps_ddr3_mem_reset_n (ddr3_reset_n), + .sys_hps_ddr3_mem_dq (ddr3_dq), + .sys_hps_ddr3_mem_dqs (ddr3_dqs_p), + .sys_hps_ddr3_mem_dqs_n (ddr3_dqs_n), + .sys_hps_ddr3_mem_odt (ddr3_odt), + .sys_hps_ddr3_mem_dm (ddr3_dm), + .sys_hps_ddr3_oct_rzqin (ddr3_oct_rzqin), + .sys_hps_dma_clk_clk (sys_dma_clk), + .sys_hps_i2c0_out_data (fmca_sda_oe), + .sys_hps_i2c0_sda (fmca_sda), + .sys_hps_i2c0_clk_clk (fmca_scl_oe), + .sys_hps_i2c0_scl_clk (fmca_scl), + .sys_hps_io_hps_io_emac1_inst_TX_CLK (eth1_tx_clk), + .sys_hps_io_hps_io_emac1_inst_TXD0 (eth1_txd0), + .sys_hps_io_hps_io_emac1_inst_TXD1 (eth1_txd1), + .sys_hps_io_hps_io_emac1_inst_TX_CTL (eth1_tx_ctl), + .sys_hps_io_hps_io_emac1_inst_RXD0 (eth1_rxd0), + .sys_hps_io_hps_io_emac1_inst_RXD1 (eth1_rxd1), + .sys_hps_io_hps_io_emac1_inst_TXD2 (eth1_txd2), + .sys_hps_io_hps_io_emac1_inst_TXD3 (eth1_txd3), + .sys_hps_io_hps_io_emac1_inst_MDIO (eth1_mdio), + .sys_hps_io_hps_io_emac1_inst_MDC (eth1_mdc), + .sys_hps_io_hps_io_emac1_inst_RX_CTL (eth1_rx_ctl), + .sys_hps_io_hps_io_emac1_inst_RX_CLK (eth1_rx_clk), + .sys_hps_io_hps_io_emac1_inst_RXD2 (eth1_rxd2), + .sys_hps_io_hps_io_emac1_inst_RXD3 (eth1_rxd3), + .sys_hps_io_hps_io_qspi_inst_IO0 (qspi_io0), + .sys_hps_io_hps_io_qspi_inst_IO1 (qspi_io1), + .sys_hps_io_hps_io_qspi_inst_IO2 (qspi_io2), + .sys_hps_io_hps_io_qspi_inst_IO3 (qspi_io3), + .sys_hps_io_hps_io_qspi_inst_SS0 (qspi_ss0), + .sys_hps_io_hps_io_qspi_inst_CLK (qspi_clk), + .sys_hps_io_hps_io_sdio_inst_CMD (sdio_cmd), + .sys_hps_io_hps_io_sdio_inst_D0 (sdio_d0), + .sys_hps_io_hps_io_sdio_inst_D1 (sdio_d1), + .sys_hps_io_hps_io_sdio_inst_CLK (sdio_clk), + .sys_hps_io_hps_io_sdio_inst_D2 (sdio_d2), + .sys_hps_io_hps_io_sdio_inst_D3 (sdio_d3), + .sys_hps_io_hps_io_usb1_inst_D0 (usb1_d0), + .sys_hps_io_hps_io_usb1_inst_D1 (usb1_d1), + .sys_hps_io_hps_io_usb1_inst_D2 (usb1_d2), + .sys_hps_io_hps_io_usb1_inst_D3 (usb1_d3), + .sys_hps_io_hps_io_usb1_inst_D4 (usb1_d4), + .sys_hps_io_hps_io_usb1_inst_D5 (usb1_d5), + .sys_hps_io_hps_io_usb1_inst_D6 (usb1_d6), + .sys_hps_io_hps_io_usb1_inst_D7 (usb1_d7), + .sys_hps_io_hps_io_usb1_inst_CLK (usb1_clk), + .sys_hps_io_hps_io_usb1_inst_STP (usb1_stp), + .sys_hps_io_hps_io_usb1_inst_DIR (usb1_dir), + .sys_hps_io_hps_io_usb1_inst_NXT (usb1_nxt), + .sys_hps_io_hps_io_uart0_inst_RX (uart0_rx), + .sys_hps_io_hps_io_uart0_inst_TX (uart0_tx), + .sys_hps_rstn_reset_n (sys_rstn), + .sys_hps_spim0_txd (spi_mosi), + .sys_hps_spim0_rxd (spi_miso), + .sys_hps_spim0_ss_in_n (1'b1), + .sys_hps_spim0_ssi_oe_n (spi_csn), + .sys_hps_spim0_ss_0_n (), + .sys_hps_spim0_ss_1_n (), + .sys_hps_spim0_ss_2_n (), + .sys_hps_spim0_ss_3_n (), + .sys_hps_spim0_sclk_clk (spi_clk), + .sys_rst_reset_n (sys_rstn)); endmodule