fmcomms2_zc706: Update project with the new TDD sync interface

main
Istvan Csomortani 2015-09-09 12:35:22 +03:00
parent 85ffc25ec5
commit 510f1cfdd9
3 changed files with 21 additions and 12 deletions

View File

@ -20,8 +20,9 @@ create_bd_port -dir O txnrx
create_bd_port -dir I up_enable
create_bd_port -dir I up_txnrx
create_bd_port -dir O tdd_sync_out
create_bd_port -dir I tdd_sync_in
create_bd_port -dir O tdd_sync_o
create_bd_port -dir I tdd_sync_i
create_bd_port -dir O tdd_sync_t
# ad9361 core
@ -142,8 +143,9 @@ ad_connect util_ad9361_dac_upack/dac_data_3 axi_ad9361/dac_data_q1
ad_connect util_ad9361_dac_upack/dac_valid axi_ad9361_dac_dma/fifo_rd_en
ad_connect util_ad9361_dac_upack/dac_data axi_ad9361_dac_dma/fifo_rd_dout
ad_connect axi_ad9361_dac_dma/fifo_rd_underflow axi_ad9361/dac_dunf
ad_connect tdd_sync_out axi_ad9361/tdd_sync_out
ad_connect tdd_sync_in axi_ad9361/tdd_sync_in
ad_connect tdd_sync_o axi_ad9361/tdd_sync_o
ad_connect tdd_sync_i axi_ad9361/tdd_sync_i
ad_connect tdd_sync_t axi_ad9361/tdd_sync_t
# interconnects

View File

@ -36,8 +36,7 @@ set_property -dict {PACKAGE_PIN AB15 IOSTANDARD LVDS_25} [get_ports tx_data_o
set_property -dict {PACKAGE_PIN AB14 IOSTANDARD LVDS_25} [get_ports tx_data_out_n[5]] ; ## H20 FMC_LPC_LA15_N
set_property -dict {PACKAGE_PIN AE18 IOSTANDARD LVCMOS25} [get_ports enable] ; ## G18 FMC_LPC_LA16_P
set_property -dict {PACKAGE_PIN AE17 IOSTANDARD LVCMOS25} [get_ports txnrx] ; ## G19 FMC_LPC_LA16_N
set_property -dict {PACKAGE_PIN AC19 IOSTANDARD LVCMOS25} [get_ports tdd_sync_out] ; ## PMOD1_7_LS
set_property -dict {PACKAGE_PIN AA20 IOSTANDARD LVCMOS25} [get_ports tdd_sync_in] ; ## PMOD1_5_LS
set_property -dict {PACKAGE_PIN AA20 IOSTANDARD LVCMOS25} [get_ports tdd_sync] ; ## PMOD1_5_LS
set_property -dict {PACKAGE_PIN AG26 IOSTANDARD LVCMOS25} [get_ports gpio_status[0]] ; ## G21 FMC_LPC_LA20_P
set_property -dict {PACKAGE_PIN AG27 IOSTANDARD LVCMOS25} [get_ports gpio_status[1]] ; ## G22 FMC_LPC_LA20_N

View File

@ -91,8 +91,7 @@ module system_top (
enable,
txnrx,
tdd_sync_out,
tdd_sync_in,
tdd_sync,
gpio_muxout_tx,
gpio_muxout_rx,
@ -164,8 +163,7 @@ module system_top (
output enable;
output txnrx;
output tdd_sync_out;
input tdd_sync_in;
inout tdd_sync;
inout gpio_muxout_tx;
inout gpio_muxout_rx;
@ -211,6 +209,9 @@ module system_top (
wire [31:0] adc_gpio_output;
wire [31:0] dac_gpio_input;
wire [31:0] dac_gpio_output;
wire tdd_sync_t;
wire tdd_sync_o;
wire tdd_sync_i;
// instantiations
@ -232,6 +233,12 @@ module system_top (
.dio_o (gpio_i[14:0]),
.dio_p (gpio_bd));
ad_iobuf #(.DATA_WIDTH(1)) i_iobuf_tdd_sync (
.dio_t (tdd_sync_t),
.dio_i (tdd_sync_o),
.dio_o (tdd_sync_i),
.dio_p (tdd_sync));
system_wrapper i_system_wrapper (
.ddr_addr (ddr_addr),
.ddr_ba (ddr_ba),
@ -302,8 +309,9 @@ module system_top (
.spi1_sdi_i (1'b0),
.spi1_sdo_i (spi_udc_data),
.spi1_sdo_o (spi_udc_data),
.tdd_sync_in (tdd_sync_in),
.tdd_sync_out (tdd_sync_out),
.tdd_sync_i (tdd_sync_i),
.tdd_sync_o (tdd_sync_o),
.tdd_sync_t (tdd_sync_t),
.tx_clk_out_n (tx_clk_out_n),
.tx_clk_out_p (tx_clk_out_p),
.tx_data_out_n (tx_data_out_n),