fmcomms1: Removed constraints that are not needed

main
Adrian Costina 2015-05-05 23:39:08 +03:00
parent 1fcaf8fb63
commit 4f75414a1a
6 changed files with 0 additions and 16 deletions

View File

@ -156,4 +156,3 @@ set_property DIFF_TERM TRUE [get_ports {adc_data_in_n[13]}]
create_clock -period 2.000 -name dac_clk_in [get_ports dac_clk_in_p] create_clock -period 2.000 -name dac_clk_in [get_ports dac_clk_in_p]
create_clock -period 4.000 -name adc_clk_in [get_ports adc_clk_in_p] create_clock -period 4.000 -name adc_clk_in [get_ports adc_clk_in_p]
create_clock -period 8.000 -name dac_div_clk [get_pins i_system_wrapper/system_i/axi_ad9122/dac_div_clk]

View File

@ -84,5 +84,3 @@ set_property -dict {PACKAGE_PIN AF23 IOSTANDARD LVDS_25 DIFF_TERM TRUE} [get_
create_clock -name dac_clk_in -period 2.00 [get_ports dac_clk_in_p] create_clock -name dac_clk_in -period 2.00 [get_ports dac_clk_in_p]
create_clock -name adc_clk_in -period 4.00 [get_ports adc_clk_in_p] create_clock -name adc_clk_in -period 4.00 [get_ports adc_clk_in_p]
create_clock -name dac_div_clk -period 8.00 [get_pins i_system_wrapper/system_i/axi_ad9122/dac_div_clk]

View File

@ -84,7 +84,3 @@ set_property -dict {PACKAGE_PIN AG41 IOSTANDARD LVDS DIFF_TERM TRUE} [get_por
create_clock -name dac_clk_in -period 2.00 [get_ports dac_clk_in_p] create_clock -name dac_clk_in -period 2.00 [get_ports dac_clk_in_p]
create_clock -name adc_clk_in -period 4.00 [get_ports adc_clk_in_p] create_clock -name adc_clk_in -period 4.00 [get_ports adc_clk_in_p]
create_clock -name dac_div_clk -period 8.00 [get_pins i_system_wrapper/system_i/axi_ad9122/dac_div_clk]
set_false_path -from [get_pins i_system_wrapper/system_i/axi_ad9643_dma/inst/i_request_arb/i_src_dma_fifo/overflow_reg/C] \
-to [get_pins i_system_wrapper/system_i/sys_wfifo/wfifo_ctl/inst/m_wovf_m1_reg/D]

View File

@ -84,7 +84,3 @@ set_property -dict {PACKAGE_PIN N20 IOSTANDARD LVDS_25 DIFF_TERM TRUE} [get_p
create_clock -name dac_clk_in -period 2.16 [get_ports dac_clk_in_p] create_clock -name dac_clk_in -period 2.16 [get_ports dac_clk_in_p]
create_clock -name adc_clk_in -period 4.00 [get_ports adc_clk_in_p] create_clock -name adc_clk_in -period 4.00 [get_ports adc_clk_in_p]
create_clock -name dac_div_clk -period 8.64 [get_pins i_system_wrapper/system_i/axi_ad9122/dac_div_clk]
set_false_path -from [get_pins i_system_wrapper/system_i/axi_ad9643_dma/inst/i_request_arb/i_src_dma_fifo/overflow_reg/C] \
-to [get_pins i_system_wrapper/system_i/sys_wfifo/wfifo_ctl/inst/m_wovf_m1_reg/D]

View File

@ -84,4 +84,3 @@ set_property -dict {PACKAGE_PIN AG15 IOSTANDARD LVDS_25 DIFF_TERM TRUE} [get_
create_clock -name dac_clk_in -period 2.00 [get_ports dac_clk_in_p] create_clock -name dac_clk_in -period 2.00 [get_ports dac_clk_in_p]
create_clock -name adc_clk_in -period 4.00 [get_ports adc_clk_in_p] create_clock -name adc_clk_in -period 4.00 [get_ports adc_clk_in_p]
create_clock -name dac_div_clk -period 8.00 [get_pins i_system_wrapper/system_i/axi_ad9122/dac_div_clk]

View File

@ -84,7 +84,3 @@ set_property -dict {PACKAGE_PIN N20 IOSTANDARD LVDS_25 DIFF_TERM TRUE} [get_p
create_clock -name dac_clk_in -period 2.16 [get_ports dac_clk_in_p] create_clock -name dac_clk_in -period 2.16 [get_ports dac_clk_in_p]
create_clock -name adc_clk_in -period 4.00 [get_ports adc_clk_in_p] create_clock -name adc_clk_in -period 4.00 [get_ports adc_clk_in_p]
create_clock -name dac_div_clk -period 8.64 [get_pins i_system_wrapper/system_i/axi_ad9122/dac_div_clk]
set_false_path -from [get_pins i_system_wrapper/system_i/axi_ad9643_dma/inst/i_request_arb/i_src_dma_fifo/overflow_reg/C] \
-to [get_pins i_system_wrapper/system_i/sys_wfifo/wfifo_ctl/inst/m_wovf_m1_reg/D]