From 4f75414a1abc9f0f1323b227823289af5507ae07 Mon Sep 17 00:00:00 2001 From: Adrian Costina Date: Tue, 5 May 2015 23:39:08 +0300 Subject: [PATCH] fmcomms1: Removed constraints that are not needed --- projects/fmcomms1/ac701/system_constr.xdc | 1 - projects/fmcomms1/kc705/system_constr.xdc | 2 -- projects/fmcomms1/vc707/system_constr.xdc | 4 ---- projects/fmcomms1/zc702/system_constr.xdc | 4 ---- projects/fmcomms1/zc706/system_constr.xdc | 1 - projects/fmcomms1/zed/system_constr.xdc | 4 ---- 6 files changed, 16 deletions(-) diff --git a/projects/fmcomms1/ac701/system_constr.xdc b/projects/fmcomms1/ac701/system_constr.xdc index 5edbb533e..4079bdc35 100644 --- a/projects/fmcomms1/ac701/system_constr.xdc +++ b/projects/fmcomms1/ac701/system_constr.xdc @@ -156,4 +156,3 @@ set_property DIFF_TERM TRUE [get_ports {adc_data_in_n[13]}] create_clock -period 2.000 -name dac_clk_in [get_ports dac_clk_in_p] create_clock -period 4.000 -name adc_clk_in [get_ports adc_clk_in_p] -create_clock -period 8.000 -name dac_div_clk [get_pins i_system_wrapper/system_i/axi_ad9122/dac_div_clk] diff --git a/projects/fmcomms1/kc705/system_constr.xdc b/projects/fmcomms1/kc705/system_constr.xdc index 85faacfb2..9dd277a55 100644 --- a/projects/fmcomms1/kc705/system_constr.xdc +++ b/projects/fmcomms1/kc705/system_constr.xdc @@ -84,5 +84,3 @@ set_property -dict {PACKAGE_PIN AF23 IOSTANDARD LVDS_25 DIFF_TERM TRUE} [get_ create_clock -name dac_clk_in -period 2.00 [get_ports dac_clk_in_p] create_clock -name adc_clk_in -period 4.00 [get_ports adc_clk_in_p] -create_clock -name dac_div_clk -period 8.00 [get_pins i_system_wrapper/system_i/axi_ad9122/dac_div_clk] - diff --git a/projects/fmcomms1/vc707/system_constr.xdc b/projects/fmcomms1/vc707/system_constr.xdc index 99851c06a..3732602b9 100644 --- a/projects/fmcomms1/vc707/system_constr.xdc +++ b/projects/fmcomms1/vc707/system_constr.xdc @@ -84,7 +84,3 @@ set_property -dict {PACKAGE_PIN AG41 IOSTANDARD LVDS DIFF_TERM TRUE} [get_por create_clock -name dac_clk_in -period 2.00 [get_ports dac_clk_in_p] create_clock -name adc_clk_in -period 4.00 [get_ports adc_clk_in_p] -create_clock -name dac_div_clk -period 8.00 [get_pins i_system_wrapper/system_i/axi_ad9122/dac_div_clk] - -set_false_path -from [get_pins i_system_wrapper/system_i/axi_ad9643_dma/inst/i_request_arb/i_src_dma_fifo/overflow_reg/C] \ - -to [get_pins i_system_wrapper/system_i/sys_wfifo/wfifo_ctl/inst/m_wovf_m1_reg/D] diff --git a/projects/fmcomms1/zc702/system_constr.xdc b/projects/fmcomms1/zc702/system_constr.xdc index b67edd841..3b545e173 100644 --- a/projects/fmcomms1/zc702/system_constr.xdc +++ b/projects/fmcomms1/zc702/system_constr.xdc @@ -84,7 +84,3 @@ set_property -dict {PACKAGE_PIN N20 IOSTANDARD LVDS_25 DIFF_TERM TRUE} [get_p create_clock -name dac_clk_in -period 2.16 [get_ports dac_clk_in_p] create_clock -name adc_clk_in -period 4.00 [get_ports adc_clk_in_p] -create_clock -name dac_div_clk -period 8.64 [get_pins i_system_wrapper/system_i/axi_ad9122/dac_div_clk] - -set_false_path -from [get_pins i_system_wrapper/system_i/axi_ad9643_dma/inst/i_request_arb/i_src_dma_fifo/overflow_reg/C] \ - -to [get_pins i_system_wrapper/system_i/sys_wfifo/wfifo_ctl/inst/m_wovf_m1_reg/D] diff --git a/projects/fmcomms1/zc706/system_constr.xdc b/projects/fmcomms1/zc706/system_constr.xdc index b38b76d60..0a8ac6ef3 100644 --- a/projects/fmcomms1/zc706/system_constr.xdc +++ b/projects/fmcomms1/zc706/system_constr.xdc @@ -84,4 +84,3 @@ set_property -dict {PACKAGE_PIN AG15 IOSTANDARD LVDS_25 DIFF_TERM TRUE} [get_ create_clock -name dac_clk_in -period 2.00 [get_ports dac_clk_in_p] create_clock -name adc_clk_in -period 4.00 [get_ports adc_clk_in_p] -create_clock -name dac_div_clk -period 8.00 [get_pins i_system_wrapper/system_i/axi_ad9122/dac_div_clk] diff --git a/projects/fmcomms1/zed/system_constr.xdc b/projects/fmcomms1/zed/system_constr.xdc index 1564f9a09..1687ef1d1 100644 --- a/projects/fmcomms1/zed/system_constr.xdc +++ b/projects/fmcomms1/zed/system_constr.xdc @@ -84,7 +84,3 @@ set_property -dict {PACKAGE_PIN N20 IOSTANDARD LVDS_25 DIFF_TERM TRUE} [get_p create_clock -name dac_clk_in -period 2.16 [get_ports dac_clk_in_p] create_clock -name adc_clk_in -period 4.00 [get_ports adc_clk_in_p] -create_clock -name dac_div_clk -period 8.64 [get_pins i_system_wrapper/system_i/axi_ad9122/dac_div_clk] - -set_false_path -from [get_pins i_system_wrapper/system_i/axi_ad9643_dma/inst/i_request_arb/i_src_dma_fifo/overflow_reg/C] \ - -to [get_pins i_system_wrapper/system_i/sys_wfifo/wfifo_ctl/inst/m_wovf_m1_reg/D]